Inhaltsverzeichnis. Modul Produktion + Steuerungstechnik Grundlagen. Zusammenfassung Wintersemester 05/06

Größe: px
Ab Seite anzeigen:

Download "Inhaltsverzeichnis. Modul Produktion + Steuerungstechnik Grundlagen. Zusammenfassung Wintersemester 05/06"

Transkript

1 Inhltsverzeichnis Modul Produktion + Steuerungstechnik Grundlgen Zusmmenfssung Wintersemester 05/06 Inhltsverzeichnis Einleitung Einordnung Steuern Regeln Übertrgungsglieder Steuerungstechnik Signldrstellung Signlverrbeitung Progrmmverwirklichung Progrmmverwirklichung Struktur Signle Definition nloge und digitle Signle Diskrete, digitle und Binäre Signle x Alising Dtenübertrgung und Dtenspeicherung Geschwindigkeit Progrmmspeicher Kriterien für Dten- und Progrmmspeicher Speichertypen Codes Zhlensysteme Minimlcodes Tetrdische Codes (Codes us vier Einheiten/Bits) Fehlererkennende Codes (m-us-n-codes) Alfnumerische Codes Digitle Schltungstechnik Logische Grundfunktionen Schltungsnlyse Schltungslgebr (Boolsche Algebr) Grundgesetzte Schltungssynthese Aufbu von Verknüpfungsschltungen Normlformen Vereinfchen von Schltfunktionen mit Krnugh Sequentielle Systeme Typen von Flip-Flops Ungetktete Flip-Flops Getktete Flip-Flops Flip-Flops Umwndlung von Flip-Flops Logische Schltungen mit einem Stbilen Zustnd (Monoflop) Synthese sequentieller Schltwerke Synchrongetktete Schltwerke ohne Eingngssignle Zähler Beispiel BCD-Zähler Entwurf einer Ablufsteuerung Anhng Bsierend uf dem Skript von Prof. Jürg Hbegger Kpitel Nummerierung gemäss Skript. Fettgedruckte Zhlen m Anfng jedes Kpitel entspricht der Seitenzhl des Skriptes. Zusmmenfssung Wintersemester 05/06 Seite 1 Zusmmenfssung Wintersemester 05/06 Seite 2

2 1. Einleitung 1.3 Steuerungstechnik Einordnung Hndbefehle Steuerung Anzeige 4 Geber (Sensoren) Mschine Prozess Stellglieder (Aktoren) Steuern 5 Ds Steuern, die Steuerung, ist der Vorgng in deinem System, bei dem eine oder mehrere Grössen ls Eingngsgrössen ndere Grössen ls Ausgngsgrössen ufgrund der dem System eigentümlichen Gesetzmässigkeit beeinflussen. Offener Wirkungsweg Geschlossener Wirkungsweg Signldrstellung Anlog = entsprechend (Kurvenscheiben, Ventile) Binär = zwei Zustände (0&1) Digitl = mehrere binäre Signle (Mikroprozessoren) Regeln 7 Ds Regeln ist ein Vorgng, bei dem die zu regeln de Grösse fortlufend erfsst und so beeinflusst wird, dss sie sich der gewünschten Grösse ngleicht. Geschlossener Wirkungskreis Regelgrösse wird mit Führungsgrösse verglichen und um Stellgrösse ngepsst Übertrgungsglieder Sttisches Verhlten Dynmisches Verhlten Signlverrbeitung 13 Synchron = uf ein Tktsignl Asynchron = unmittelbr Verknüpfungssteuerung (nd, or, not) Ablufsteuerung (n-te Schritt wenn (n-1)te Schritt bgeschlossen /NS, S, R, D) o Zeitgeführt o Prozessgeführt Zusmmenfssung Wintersemester 05/06 Seite 3 Zusmmenfssung Wintersemester 05/06 Seite 4

3 1.3.3 Progrmmverwirklichung 18 Progrmm bedeutet die die Gesmtheit ller Anweisungen und Vereinbrungen für die Signlverrbeitung, durch die eine zu steuernde Anlge beeinflusst wird. Verbindungsprogrmmierte Steuerung (Verbindung durch fest verlegte Drähte oder Bhnen) Speicherprogrmmierbre Steuerung (RAM, ROM usw.) Wertkontinuierlich und Zeitdiskret Struktur Nicht hierrchisch Hierrchisch o Einzelsteuerebene (viele kleine Dten, kurze Lebensduer) o Gruppensteuerebene o Leitersteuerebene (Grosse Dten, lnge Lebensduer) Unter Leiten versteht mn die Gesmtheit ller Mssnhmen, die bewirken, dss der gewünschte Prozessverluf erreicht wird. Dbei ist meist uch eine Mitwirkung von Menschen vorgesehen. Messen, Zählen, Steuern, Regeln, Optimieren, Überwchen, Schützen, Auswerten, Anzeigen, Melden, Aufzeichnen, Protokollieren, Eingreifen, Stellen, Dtenerfssen, Dteneingeben, Dtenverrbeiten, Dtenübertrgen, Dtenusgeben 20 Wert- und Zeitdiskret = digitles Signl 2.2 Diskrete, digitle und Binäre Signle Diskret (endliche Anzhl von Werten) Digitl (gnzzhliges Vielfches der Grundeinheit) Binär (einprmetrisches digitles Signl) 2.x Alising Abtstfrequenz f Signlfrequenz f s Niquistfrequenz f = n 25 f 2 2. Signle 22 Unter einem Signl versteht mn die physiklische Drstellung von Nchrichten oder Dten. Drgestellt durch einen Wertverluf, die Amplitude ist von der Zeit t bhängig. 2.1 Definition nloge und digitle Signle 22 Alisingfrequenz f = (( f + f ) mod f ) f s Verhinderung von Alising o Abtsttheorem von Shnnon einhlten theoretisch f 2 f prktisch N f 5 20 f s o Tiefpssfilter (Frequenz glättung / Grenzfrequenz s N f f g ) 2 Wert- und Zeitkontinuierlich = nloges Signl 3. Dtenübertrgung und Dtenspeicherung Prllel (8 Bits gleichzeitig / hohe Übertrgungsgeschw., höhere Kosten) Seriell (8 Bit-Folgen ncheinnder / lngsmer, billiger) 27 Wertdiskret und Zeitkontinuierlich (LED-Anzeige der Stereonlge) Geschwindigkeit 1 Bud = 1 Bit pro Sekunde (USB2.0: Mbit/s) 28 Zusmmenfssung Wintersemester 05/06 Seite 5 Zusmmenfssung Wintersemester 05/06 Seite 6

4 3.2 Progrmmspeicher 28 Speicherung durch Lochen von Krten oder Streifen ( Lochkrte, Lochstreifen) Speicherung durch stbilen mgnetischen Fluss (Mgnetkern, Mgnetbnd, HDD, Floppy) Speicherung durch stbile Strom- oder Spnnungsverteilung (Hlbleiterspeicher) Kriterien für Dten- und Progrmmspeicher Speicherdichte, Speicherkpzität, Art des Zugriffs und Zugriffszeit, Adressierung, Energieverbruch 29 Andere o EAROM; Electriclly Alterble ROM Inhlt Wort für Wort löschbr o NOVRAM; NO Voltile RAM 4. Codes 34 Ein Code bildet die Zeichen eines Zeichenvorrtes uf die Zeichen eines zweiten Zeichenvorrtes b. Nur Zeichen 0 oder 1. Kombintion mehrerer Zeichen nennt mn Wort Mit der Wortlänge n können N = 2 n verschiedene Kombintionen von 0 und 1 gebildet werden Speichertypen Schreib-Lese-Speicher (Flüchtige Speicher) o RAM: Rndom Access Memory ; Speicher mit whlfreiem Zugriff o Sttische RAMs Speicherung durch Trnsistorzelle (grosse Abmessung, geringe Störnfälligkeit und Energieverbruch) o Dynmische RAMs (geringe Abmessung, refresh-zyklus) Nur-Lese-Speicher (Festwertspeicher) o ROM; Red Only Memory o Beliebig oft gelesen, nicht mehr verändert o Progrmmspeicher von festliegenden Abläufen Progrmmierbre Festwertspeicher o PROM; Progrmmble Red Only Memory o Einmlig beschreibbr, dnch nicht mehr veränderbr Löschbre Festwertspeicher o EPROM; Ersble Progrmmble Red Only Memory o Durch UV-Licht löschbr (nur insgesmt) nschliessend neu beschrieben Elektrisch löschbre Festwertspeicher o EEPROM; Electriclly Ersble Progrmmble Red Only Memory o Durch elektrischen Impuls insgesmt gelöscht Zhlensysteme Dezimlsystem o 10 Ziffern (0-9) o Potenz zur Bsis 10 o Bsp.: 496 = 4* * *10 0 Dulsystem o Nur Ziffern 0 und 1 o Potenz zur Bsis 2 o Bsp.: 1001 = 1* * * *2 0 Oktlsystem o 8 Ziffern (0-7) o Potenz zur Bsis 8 o Bsp.: 352 = 3* * *8 0 Hexdezimlsystem o 16 Ziffern (0-9 & A-F) o Potenz zur Bsis 16 o Bsp.: B3 = B* * Minimlcodes 38 Werden lle N Codewörter in einem Code benützt, so spricht mn von einem Minimlcode, sonst von einem redundnten Code. Dulcode Gry-Code (ähnlich wie Dul, ber einschrittig, d.h. nur eine Ziffer ändert zum nächsten Wort. Eingesetzt in Abtstsystemen. Ist nicht bewertbr.) Zusmmenfssung Wintersemester 05/06 Seite 7 Zusmmenfssung Wintersemester 05/06 Seite 8

5 4.3 Tetrdische Codes (Codes us vier Einheiten/Bits) 39 BCD-Code; Binry Coded Decimls ( Code) o Jede Dezimlzhl wird durch ihr dules Äquivlent drgestellt o werden nicht genutzt: Pseudo-Tetrden o Redundnt, kein Minimlcode, bewertbr Selbstkomplementäre Codes (Aiken, Exzess-3) Glixon-Code o Ähnlich Gry-Code (Ziffer 9 nders Codiert dmit 9 uf 1 einschrittig) o Kein Minimlcode, nicht bewertbr Code mit Prüfbit ( Code) o Prity-Checkbit zur Erkennbrkeit von Fehlern o Redundnt, bewertbr 4.4 Fehlererkennende Codes (m-us-n-codes) 42 Es Werden Codewörter benützt, bei denen von n Stellen die Zhl der Einsen gleich m ist. 5. Digitle Schltungstechnik 5.1 Logische Grundfunktionen Drstellung einer binären Funktion durch Algebrischen Ausdruck, Whrheitstbelle, Signlschltbild, Venn-Digrmm, Schltermodell Grundopertionen UND (Konjunktion), ODER (Disjunktion), NICHT (Negtion) Abgeleitete Formen NAND, NOR, ÄQUIVALENZ, ANTIVALENZ (Xor) 5.2 Schltungsnlyse Betrchtung der Kombintionen der Logikbusteine untereinnder: Digitlschltung us-10 Code 2-us-7 Code 2-us-5 Code 3-us-5 Code: Lorenzcode EAN-Code Für die Redundnz eines Codes gelten folgende Definitionen: Whrheistbelle Fll D C B A Y Absolute Redundnz R = log 2 Anzhl ller möglichen Bitkombintionen Anzhl usgenützter Bitkombintionen Funktionsgleichung [( A B) C] D R Reltive Redundnz r = 100 % n 4.5 Alfnumerische Codes 46 Zum Codieren von lphnumerischen Zeichen, d.h. Buchstben, Ziffern, Stz- und Sonderzeichen. CCITT Nr.5 oder ASCII-Code (ISO 7-Bit Code) o 0-31 Steuerzeichen o lphnumerisch 5.3 Schltungslgebr (Boolsche Algebr) Grundlegende Axiome Prioritäten der Grundopertionen 1. Ausdrücke in Klmmern 2. Negtion 3. Konjunktion 4. Disjunktion Rechenregeln mit Signlvriblen 0 X = 0 0 X = X 1 X = X 1 X = 1 X X = X X X = X X X = 0 X X = 1 57 Zusmmenfssung Wintersemester 05/06 Seite 9 Zusmmenfssung Wintersemester 05/06 Seite 10

6 5.3.4 Grundgesetzte 58 Konjunktionen und Disjunktionen sind kommuttiv: A B C = C A B Konjunktionen und Disjunktionen sind ssozitiv: ( A B) C = A ( B C) Distributionsgesetzt knn ngewendet werden ( A B) ( A C) = A ( B C) De Morgn A B C... Z = A B C... Z A B = A B Shnnon F ( A, B, C,..., Z,, ) = F( A, B, C,..., Z,, ) 5.4 Schltungssynthese Aufbu von Verknüpfungsschltungen 1. Beschreibung der Funktion der gesuchten Schltung (Pflichtenheft) 2. Festlegung der Eingngs- und Ausgngsgrössen und der Bedeutung von 0 und 1 3. Erstellen der Whrheitstbelle 4. Bestimmen der logischen Verknüpfungsschltung 5. Vereinfchung und evtl. Umformung der Schltung Normlformen ODER-Normlform (Disjunktive Normlform) Disjunktive Normlform wird jede disjunktive Verknüpfung von Vollkonjunktionen gennnt. Vollkonjunktionen oder Minterme sind Konjunktionen, die sämtliche Eingngsvriblen, negiert oder nicht negiert, enthlten. X = A B C A B C A B C A B C Die ODER-Normlform gibt vollständig lle Schltfunktionen wieder, die die gestellte Aufgbe lösen. Sie enthält die UND-Verknüpfungen ller vorkommenden Vriblen für lle Fälle in denen ds Ausgngssignl zu 1 wird. UND-Normlform (Konjunktive Normlform) Konjunktive Normlform wird jede konjunktive Verknüpfung von Volldisjunktionen gennnt. Volldisjunktionen oder Mxterme sind Disjunktionen, die sämtliche Eingngsvriblen, negiert oder nicht negiert, enthlten. X = A B C A B C A B C A B C Vereinfchen von Schltfunktionen mit Krnugh 65 Im KV-Digrmm werden für lle Vollkonjunktionen, bei denen ds Ausgngssignl 1 liefert eine 1 in ds entsprechende Feld eingetrgen. KV-Digrmm (für 4 Vriblen) o Sind Vollkonjunktionen benchbrt, so können sie zu Päckchen zusmmengefsst werden o In einem Päckchen dürfen 2 n Vriblen zusmmengefsst werden o Ist ein Funktionswert nicht definiert wird ein X (don t cre) gesetzt. Für ds X knn im KV-Digrmm eine 0 oder 1 ngenommen werden 5.5 Sequentielle Systeme 70 Bei der sequentiellen Logik wird ds Ausgngssignl nicht nur vom Zustnd der Eingngsvriblen, sondern uch von der Zeit beeinflusst. Diese zeitliche Abhängigkeit ist durch ds innere Schltwerk gegeben. Die Grundbusteine sind Flip-Flops (Speicherelemente) Speicher (Selbsthltung) o s = setzten o r = rücksetzen dominierend löschend o r und s gleichzeitig 1, so bleibt Ausgng 0 dominierend setzend o r und s gleichzeitig 1, so Ausgng 1 Zusmmenfssung Wintersemester 05/06 Seite 11 Zusmmenfssung Wintersemester 05/06 Seite 12

7 5.5.3 Typen von Flip-Flops Flip-Flops Funktionstbelle, Whrheitstbelle & Ansteuertbelle siehe Skript. 77 Nicht-tktgesteuert (synchron) o Speicher-Flipflop (Ltch- Flipflop) Tktgesteuert (synchron) o Tktzustndsgesteuert Auffng-Flipflops o Tktflnkengesteuert Einflnken Einspeicher Zweispeicher mit dynmischem Zwischenspeicher Zweiflnken Zweispeicher (Mster-Slve) Ungetktete Flip-Flops NAND-Bsis-Flipflop RS-Flipflop (Reset-Set) (durch invertieren der Eingänge des NAND-Bsis) Getktete Flip-Flops x1 x2 A1 A2 0 0 irregulär speichern Tktzustndgesteuert Die Eingänge werden nur freigegeben, wenn ein ktives Tktsignl nliegt (ktiv heisst in diesem Zusmmenhng logisch 0 oder logisch 1). o Tktsignl C p o Negtiver ktiver Tktzustnd durch Inversion Tktflnkengesteuert Eingänge werden für eine kurze Zeit, bei einem Zustndswechsel des Tktsignls freigegeben. o Positiv-flnkengesteuert (bei Wechsel von 0 uf 1) o Negtiv-flnkengesteuert (bei Wechsel von 1 uf 0) Binäruntersetzer o Chrkteristische Gleichung Q n+1 = Qn o Ändert uf jeden Tktimpuls den Zustnd m Ausgng RS-Flip-Flop (Reset-Set-Flip-Flop) o Chrkteristische Gleichung Qn+ 1 = Sn ( Qn Rn ) o S setzten, R zurücksetzen, nie beide Eingänge uf 1 T-Flip-Flop (Toggle-Flip-Flop) o Chrkteristische Gleichung Q = ( T Q ) ( T Q ) n+ 1 n n n n o Wenn T = 0 wird Ausgngssignl beibehlten. Wenn T = 1 wird Ausgngssignl invertiert D-Flip-Flop (Dely-Flip-Flop) o Chrkteristische Gleichung: Q n+1 = Dn o Zustnd m D-Eingng wird bei Tktimpuls weitergleitet JK-Flip-Flop (Universl-Flip-Flp) o Chrkteristische Gleichung Qn+ 1 = ( J n Qn ) ( Kn Qn ) o Ähnlich RS-Flipflop, Zustnd J=1 und K=1 ist jedoch erlubt. Ausgng wird invertiert Umwndlung von Flip-Flops 80 Durch die Beschltung der Flip-Flop Eingänge knn jedes Flip-Flop eines bestimmten Typs in einen ndern Typ umgewndelt werden. 1. Erstellen der vollständigen Whrheitstbelle des gesuchten Flip-Flops 2. Bestimmen der logischen Funktion für die Eingänge des gegebenen Flip-Flops 3. Mit KV-Digrmm minimlisieren, nschliessend die Funktionen für die Eingänge des gegebenen Flip-Flops durch die Vriblen des gesuchten Flip- Flops usdrücken Logische Schltungen mit einem Stbilen Zustnd (Monoflop) 81 Flip-Flop wird durch Impuls in einen nichtstbilen Zustnd gebrcht. Nch Abluf einer Zeit kehrt ds Flip-Flop in den ursprünglichen Zustnd zurück. Eingesetzt in: Regenertion von Impulsen Erzeugen von Mehrfchimpulsen Verzögerung von Impulsen t 1 gibt n, um welche Zeit nsteigende Signlflnken verzögert werden t 2 gibt n, um welche Zeit bfllende Signlflnken verzögert werden Zusmmenfssung Wintersemester 05/06 Seite 13 Zusmmenfssung Wintersemester 05/06 Seite 14

8 5.6 Synthese sequentieller Schltwerke Synchrongetktete Schltwerke ohne Eingngssignle Schltwerke ohne Eingngssignle sind Schlterwerke, die m Ausgng zyklisch einen bestimmten Code erzeugen. Sie werden llgemein ls Zähler bezeichnet. Sie bestehen us einem kombintorischen Netzwerk und einem Mehrbitspeicher Zähler Asynchroner Dulzähler Es werden so viele T-Kippglieder benötigt wie der Zähler Binärstellen ht. Mit jedem Zählimpuls, beim Wechsel von 1 uf 0 m Eingng T 0, kippt der Ausgng Q 0 in die entgegen gesetzte Richtung. Es entsteht ein Impulszug mit genu hlb so vielen Impulsen wie m Eingng T Beispiel BCD-Zähler Vorgehen: 1. Zeichnen des Zustndsdigrmms (Loop) 2. Aufstellen der Next-Stte-Tbelle (Z n, Q 3, Q 2, Z n, Q 3, Q 2, ) 3. Whl des einzusetzenden Speichertyps (Funktionstbelle, Ansteuertbelle ufstellen) 4. Aufstellen der Whrheitstbelle für die Funktion U n+1 (Flip-Flop- Eingänge in Next-Stte-Tbelle einsetzten) 5. Bestimmung der minimlen Logik-Funktionen für U n+1 U n+1 = G (KV-Digrmm) 6. Anlyse der don t cre Zustände (definierte X-Werte in Next-Stte- Tbelle einsetzten, Folgezustnd heruslesen (Huptloop prsitärer Loop)) 7. Zeichnen des Schems Entwurf einer Ablufsteuerung Vorgehen: 1. Aufstellen eines Zustndsdigrmms oder Funktionsplns 2. Ermittlung der Anzhl Zustände 3. Auswhl der Codierung für den Zustndsspeicher und Zeichnen des Zustndsspeichers. Dulcode (Benötigt wenig Flip-Flops, Aufwnd für Verknüpfungen ist jedoch m grössten) b. Tktstufen (1-us-4-Code) (sehr übersichtlich, viele Flip- Flops d jeder Schritt/Tkt eine Speicherstufe) 84 Asynchrone Zähldekde Ein BCD-Zähler mit dem Code ht den gleichen Grundufbu wie ein Dulzähler mit 4 Bits. Es muss nur dfür gesorgt werden, dss nch der Ziffer 9 wieder die Ziffer 0 entsteht und nicht die Bit-Kombintion für die Ziffer 10. Dies wird durch Rücksetzen ller Kippglieder erreicht, wenn kurzzeitig die Bitkombintion 1010 nsteht. Synchrone Zähldekde (siehe Beispiel) Synchrone Zähler sind für Steuerungsufgben grundsätzlich besser geeignet ls synchrone Zähler, d die jeweils folgende Zhl mit Eintreffen des Tktimpulses sofort richtig nsteht. Anhng Umfsst Vorlgen zu: Next-Stte-Tbelle KV-Digrmm 4x2 KV-Digrmm 4x4 Zusmmenfssung Wintersemester 05/06 Seite 15 Zusmmenfssung Wintersemester 05/06 Seite 16

9 Next Stte Tbelle Aufgbe: Zn Zn+1 Dtum: Seite:

10 KV-Digrmm Aufgbe: Dtum: Seite:

11 KV-Digrmm Aufgbe: Dtum: Seite:

Technische Informatik 2

Technische Informatik 2 TiEl-F Sommersemester 24 Technische Informtik 2 (Vorlesungsnummer 2625) 23--- TiEl-F Prof. Dr.-Ing. Jürgen Doneit Zimmer E29 Tel.:73 54 455 doneit@fh-heilronn.de 23--- TiEl-F35 Digitltechnik 23--3- . Digitlschltungen,

Mehr

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist . Ohm = LED leuchtet wenn chlter gedrückt ist 2. Ohm = NICH ( = NO ) LED leuchtet wenn chlter nicht gedrückt ist = ist die Negtion von? Gibt es so einen kleinen chlter (Mikrotster)? 2. Ohm = UND LED leuchtet

Mehr

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik Boole'sche Algebr Binäre Funktionen, Boole'sche Algebren, Schltlgebr Inhltsübersicht Verknüpfungen der mthemtischen Logik Boole sche Algebren Grundelemente der Schltlgebr Regeln der Schltlgebr Normlformen

Mehr

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3 Lehrgng: Digitltechnik ( Grundlgen ) Dtum: Nme: Seite: Inhltsverzeichnis: Im Lehrgng verwendete Gtter ( Üersicht ) Seite 3 Aufu von Zhlensystemen deziml, dul ( Infoseite ) Seite 4 ( Areitsltt ) Seite 5

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

Mathematik schriftlich

Mathematik schriftlich WS KV Chur Abschlussprüfungen 00 für die Berufsmtur kufmännische Richtung Mthemtik schriftlich LÖSUNGEN Kndidtennummer Nme Vornme Dtum der Prüfung Bewertung mögliche erteilte Punkte Punkte. Aufgbe 0. Aufgbe

Mehr

Dehnungsmessstreifen E3d

Dehnungsmessstreifen E3d Dehnungsmessstreifen E3d Dehnungsmessstreifen E3d Physiklisches Prktikum für Mschinenbuer Lehrstuhl für Messtechnik und Sensorik 1 Aufgbenstellung Der Versuch soll zunächst mit den grundsätzlichen Problemen

Mehr

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt:

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 8. Grundlgen der Informtionstheorie 8.1 Informtionsgehlt, Entropie, Redundnz Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* ller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 1.

Mehr

Zustand eines digitalen Systems. FB Technologie und Management. Negation, Disjunktion, Konjunktion. Datenverarbeitung 1 (Kapitel 4 Boolesche Algebra)

Zustand eines digitalen Systems. FB Technologie und Management. Negation, Disjunktion, Konjunktion. Datenverarbeitung 1 (Kapitel 4 Boolesche Algebra) FB Technologie und Mngement Zustnd eines digitlen Sstems Schltnetz Dtenverrbeitung 1 (Kpitel 4 Boolesche Algebr) x1 x2 x3 x n =f(x1xn) x1 x2 x3 x n k =f k (x1xn) 1 2 3 m 1 2 Pegelbereiche Signlbereiche

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Mathe Warm-Up, Teil 1 1 2

Mathe Warm-Up, Teil 1 1 2 Mthe Wrm-Up, Teil 1 1 2 HEUTE: 1. Elementre Rechenopertionen: Brüche, Potenzen, Logrithmus, Wurzeln 2. Summen- und Produktzeichen 3. Gleichungen/Ungleichungen 1 orientiert sich n den Kpiteln 3,4,6,8 des

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

1 Kurvendiskussion /40

1 Kurvendiskussion /40 009 Herbst, (Mthemtik) Aufgbenvorschlg B Kurvendiskussion /0 Gegeben ist eine Funktion f mit der Funktionsgleichung: f ( ) 0 6 = ; mit.. Untersuchen Sie ds Verhlten der Funktionswerte von f im Unendlichen.

Mehr

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre Vorlesung Einführung in die mthemtische Sprche und nive Mengenlehre 1 Allgemeines RUD26 Erwin-Schrödinger-Zentrum (ESZ) RUD25 Johnn-von-Neumnn-Hus Fchschft Menge ller Studenten eines Institutes Fchschftsrt

Mehr

Mathematik. Name, Vorname:

Mathematik. Name, Vorname: Kntonsschule Zürich Birch Fchmittelschule Aufnhmeprüfung 2007 Nme, Vornme: Nr.: Zeit: 90 Minuten erlubte Hilfsmittel: Tschenrechner us der Sekundrschule, lso weder progrmmierbr noch grfik- oder lgebrfähig

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Großübung zu Kräften, Momenten, Äquivalenz und Gleichgewicht

Großübung zu Kräften, Momenten, Äquivalenz und Gleichgewicht Großübung u Kräften, omenten, Äuivlen und Gleichgewicht Der Körper Ein mterielles Teilgebiet des Universums beeichnet mn ls Körper. Im llgemeinen sind Körper deformierbr. Sonderfll strrer Körper (odellvorstellung)

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze Schltnetze Aufu von Schltnetzen nhnd wichtiger Beipiele Inhltericht Codierer, Decodierer und Codekonverter Additionchltnetze Hlddierer Vollddierer Mehrtellige Addierer Multiplexer und Demultiplexer Techniche

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Praktikum: Elektronische Schaltungstechnik I, 90min Raum: Labor Schaltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M.

Praktikum: Elektronische Schaltungstechnik I, 90min Raum: Labor Schaltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M. Technische Informtik TI 4. Semester Prktikum: Elektronische Schltungstechnik I, 90min um: Lbor Schltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M. Viehmnn Versuch: ES. Them: Opertionsverstärker.

Mehr

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1.

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1. Modul : Grundlgen der Wirtschftsmthemtik und Sttistik Kurs 46, Einheit, Einsendeufge Die Regelungen zu den Einsendeufgen (Einsendeschluss, Klusurzulssung) finden Sie in den Studien- und Prüfungsinformtionen

Mehr

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30 15 Mtrizenrechnung 15 Mtrizenrechnung 15.1 Mtrix ls Zhlenschem Eine Internetfirm verkuft über einen eigenen Shop Digitlkmers. Es wird jeweils nur ds Topmodel der Firmen Cnon, Nikon und Sony ngeboten. Verkuft

Mehr

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an!

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an! Seite 1/15 Aufgbe 1 ( 7 Punkte) Geben Sie die Koordinten des lächenschwerpunktes des drgestellten Querschnitts n! 2 Gegeben:. 4 ΣA i = y 2 x Σx i A i = x s = Σy i A i = y s = ΣA i = 8 2 Σx i A i = 13 3

Mehr

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015 LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN FAKULTÄT FÜR BIOLOGIE Prof. Anres Herz, Dr. Stefn Häusler emil: heusler@biologie.uni-muenchen.e Deprtment Biologie II Telefon: 089-280-74800 Großhernerstr. 2 Fx:

Mehr

Limit Texas Hold em. Meine persönlichen Erfahrungen

Limit Texas Hold em. Meine persönlichen Erfahrungen Limit Texs Hold em Meine persönlichen Erfhrungen Dominic Dietiker c Drft dte 21. September 2010 Inhltsverzeichnis 1. Spielnleitung...................................... 1 1.1 Der Spielverluf....................................

Mehr

Warmluft-Thermostate. Typenreihe WTHc. Besonderheiten. Kurzbeschreibung. Schaltfunktion. Zulassungen/Prüfzeichen (siehe technische Daten)

Warmluft-Thermostate. Typenreihe WTHc. Besonderheiten. Kurzbeschreibung. Schaltfunktion. Zulassungen/Prüfzeichen (siehe technische Daten) JUMO GmbH & Co. KG Telefon: +9 66 6003-76 Husdresse: Moritz-Juchheim-Strße, 36039 Fuld, Germny Telefx: +9 66 6003-50 Lieferdresse: Mckenrodtstrße, 36039 Fuld, Germny E-Mil: mil@jumo.net Postdresse: 36035

Mehr

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO)

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO) Fchhochschule Düsseldorf SS 2007 Teilfchprüfung Mthemtik Studiengng: Wirtschft Neue Diplomprüfungsordnung (NPO) Prüfungsdtum: 29..2007 Prüfer: Prof. Dr. Horst Peters / Dipl. Volkswirt Lothr Schmeink Prüfungsform:

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

1. Grundlagen der Informatik Digitale Systeme

1. Grundlagen der Informatik Digitale Systeme 1. Grundlgen der Informtik Inhlt Grundlgen digitler Systeme Boolesche Alger / Aussgenlogik Orgnistion und Architektur von Rechnern Zhlensysteme und interne Zhlendrstellung Algorithmen, Drstellung von Algorithmen

Mehr

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5;

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5; Überblick: Teil C Systemnhe Softwreentwicklung Einordnung: Zeiger (Pointer) Literl: Drstellung eines Wertes 0110 0001 12 Progrmmstruktur und Module Vrible: Bezeichnung chr ; eines Dtenobjekts Behälter

Mehr

Übungsblatt 1 zum Propädeutikum

Übungsblatt 1 zum Propädeutikum Üungsltt zum Propädeutium. Gegeen seien die Mengen A = {,,,}, B = {,,} und C = {,,,}. Bilden Sie die Mengen A B, A C, (A B) C, (A C) B und geen Sie diese in ufzählender Form n.. Geen Sie lle Teilmengen

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Einführung in Mathcad 14.0 2011 H.

Einführung in Mathcad 14.0 2011 H. Einführung in Mthc. H. Glvnik Eitieren von Termen Tet schreiben mit Shift " + + Nvigtion mit Leertste un Cursor + Löschen mit Shift + Entf + + 5 sin( ) + Arten von Gleichheitszeichen Definition eines Terms

Mehr

Informatik I Modul 3: Schaltnetze

Informatik I Modul 3: Schaltnetze Herbstsemester 2, Institut für Informtik IFI, UZH, Schweiz Informtik I Modul 3: Schltnetze 2 Burkhrd Stiller M3 Modul 3: Schltnetze Einführung in die formlen Grundlgen logischer Beschreibungen Boolesche

Mehr

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge.

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge. Reltionen zwischen Mengen/uf einer Menge! Eine Reltion R A B (mit A B) ist eine Reltion zwischen der Menge A und der Menge B, oder uch: von A nch B. Drstellung: c A! Wenn A = B, d.h. R A A, heißt R eine

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen Gerd Wöstenkühler Grundlgen der Digitltehnik Elementre Komponenten, Funktionen und Steuerungen Inhlt 1 Einleitung... 11 1.1 Anloge unddigitledrstellungsformen... 11 1.1.1 AnlogeGrößendrstellung... 11 1.1.2

Mehr

McAfee Content Security Blade Server

McAfee Content Security Blade Server Schnellstrt-Hndbuch Revision A McAfee Content Security Blde Server Version 7.0.0 Dieses Schnellstrt-Hndbuch dient ls Anleitung zum Einrichten von McAfee Content Security Blde Server. Ausführliche Anweisungen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Funktionen und Mächtigkeiten

Funktionen und Mächtigkeiten Vorlesung Funktionen und Mähtigkeiten. Etws Mengenlehre In der Folge reiten wir intuitiv mit Mengen. Eine Menge ist eine Zusmmenfssung von Elementen. Zum Beispiel ist A = {,,,,5} eine endlihe Menge mit

Mehr

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999 Abitur - Leistungskurs Mthemtik Schsen-Anhlt 999 Gebiet L - Anlysis Augbe.. y, D, R,. Die Funktionenschr sei gegeben durch Die Grphen der Funktionen der Schr werden mit G bezeichnet. ) Ermitteln Sieden

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Keil Telecom Homepage - Hersteller von Isdn Tk Anlagen und Türsprechsystemen für Heim und Bü...

Keil Telecom Homepage - Hersteller von Isdn Tk Anlagen und Türsprechsystemen für Heim und Bü... Keil Telecom Homepge - Hersteller von Isdn Tk Anlgen und Türsprechsystemen für Heim und Bü... Seite 1 von 1 Einutürlutsprecher esonders kleine und kompkte Buform Einu üerll dort wo Pltz knpp ist Briefkästen,

Mehr

1. Voraussetzung. 2. Web-Account anlegen. 3. Einloggen. 4. Kunden-Portal verwenden 5. Sub-Accounts 5.1Sub-Account anlegen. 5.2 Sub-Account bearbeiten

1. Voraussetzung. 2. Web-Account anlegen. 3. Einloggen. 4. Kunden-Portal verwenden 5. Sub-Accounts 5.1Sub-Account anlegen. 5.2 Sub-Account bearbeiten Anleitung DER WEG ZUM TOLL COLLECT KUNDEN-PORTAL Inhlt 1. Vorussetzung 2. Web-Account nlegen 3. Einloggen 4. Kunden-Portl verwenden 5. Sub-Accounts 5.1Sub-Account nlegen 5.2 Sub-Account berbeiten 5.3 Sub-Account

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Grundlagen der Informatik I Informationsdarstellung

Grundlagen der Informatik I Informationsdarstellung Grundlagen der Informatik I Informationsdarstellung Einführung in die Informatik, Gumm, H.-P./Sommer, M. Themen der heutigen Veranstaltung. ASCIi Code 2. Zeichenketten 3. Logische Operationen 4. Zahlendarstellung

Mehr

ITF Funktionsbeschreibung

ITF Funktionsbeschreibung ITF Funktionsbeschreibung Funktionsbeschreibung des CMFnet ITF Frmeworks (utomtisiertes Testen) Autor: CMFnet GmbH Version: 1.0 Dtum: 09.03.2010 CMFnet GmbH Bernstrsse 60 CH-8952 Schlieren Tel. +41 43

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Wirtschaftsmathematik für International Management (BA) und Betriebswirtschaft (BA)

Wirtschaftsmathematik für International Management (BA) und Betriebswirtschaft (BA) Wirtschftsmthemtik für Interntionl Mngement (BA) und Betriebswirtschft (BA) Wintersemester 2013/14 Stefn Etschberger Hochschule Augsburg Mthemtik: Gliederung 1 Aussgenlogik 2 Linere Algebr 3 Linere

Mehr

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen.

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen. Üungen zur Vorlesung Technische Informtik I, SS 2 Strey / Guenkov-Luy / Prger Üungsltt 3 Asynchrone Schltungen / Technologische Grundlgen / Progrmmierre Logische Busteine Aufge : Diskutieren Sie die Unterschiede

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Leitfaden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH

Leitfaden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH Leitfden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH Stnd: 20.01.2012 Gültig b: 01.01.2012 Inhltsverzeichnis 1 Benötigte Dten... 3 2 Netzentgelte... 4 2.1 Entgelt für Entnhme

Mehr

Grundwissen am Ende der Jahrgangsstufe 9. Wahlpflichtfächergruppe II / III

Grundwissen am Ende der Jahrgangsstufe 9. Wahlpflichtfächergruppe II / III Grundwissen m Ende der Jhrgngsstufe 9 Whlpflichtfächergruppe II / III Funktionsbegriff Gerdengleichungen ufstellen und zu gegebenen Gleichungen die Grphen der Gerden zeichnen Ssteme linerer Gleichungen

Mehr

Exportmodul Artikel-Nr.: 20208

Exportmodul Artikel-Nr.: 20208 Seite 1 / 5 V5.32 Exportmodul Artikel-Nr.: 20208 Erweiterungsmodul für ds ELV-TimeMster Komplettsystem Hndbuch und Beschreibungen Ab der Version 5 befinden sich die Kurznleitung und ds gesmte Hndbuch ls

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm 3 Module in C 5 Glole Vrilen!!!.c Quelldteien uf keinen Fll mit Hilfe der #include Anweisung in ndere Quelldteien einkopieren Bevor eine Funktion us einem nderen Modul ufgerufen werden knn, muss sie deklriert

Mehr

Ausbildung zum Passagement-Consultant

Ausbildung zum Passagement-Consultant M & MAICONSULTING Mngementbertung Akdemie M MAICONSULTING Mngementbertung & Akdemie MAICONSULTING GmbH & Co. KG Hndschuhsheimer Lndstrße 60 D-69121 Heidelberg Telefon +49 (0) 6221 65024-70 Telefx +49 (0)

Mehr

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6 Aufgben zur Vorlesung Anlysis II Prof. Dr. Holger Dette SS 0 Lösungen zu Bltt 6 Aufgbe. Die Funktion f : [, ) R sei in jedem endlichen Teilintervll von [, ) Riemnnintegrierbr. Für n N sei I n := f() d.

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Kriterien für die Auszeichnung einer Abbaustelle

Kriterien für die Auszeichnung einer Abbaustelle Stnd Mi 2015 Kriterien Seite 1/2 Kriterien für die Auszeichnung einer Abbustelle GRUNDSATZ Mit dem Zertifikt werden Abbustellen usgezeichnet, die durch ihre besondere ökologische Qulität einen wichtigen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Unterrichts- und Prüfungsplanung M306 Modulverantwortlicher: Beat Kündig Modulpartner: R. Rubin

Unterrichts- und Prüfungsplanung M306 Modulverantwortlicher: Beat Kündig Modulpartner: R. Rubin Dokument Dtum (Version) Gültig für 200 / 0 Seite von 7 Unterrichts- und Prüfungsplnung M306 Modulverntwortlicher: Bet Kündig Modulprtner: R. Rubin Lernschritt-Nr. Hndlungsziele Zielsetzung unter Berücksichtigung

Mehr

16.3 Unterrichtsmaterialien

16.3 Unterrichtsmaterialien 16.3 Unterrichtsmterilien Vness D.l. Pfeiffer, Christine Glöggler, Stephnie Hhn und Sven Gembll Mteril 1: Alignieren von Nukleotidsequenzen für die Verwndtschftsnlyse Für eine Verwndtschftsnlyse vergleicht

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung)

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung) Wörter, Grmmtiken und die Chomsky-Hierrchie Sprchen und Grmmtiken Wörter Automten und Formle Sprchen lis Theoretische Informtik Sommersemester 2012 Dr. Snder Bruggink Üungsleitung: Jn Stückrth Alphet Ein

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

1 152.17. 1. Gegenstand und Zweck

1 152.17. 1. Gegenstand und Zweck 5.7. März 0 Verordnung üer die Klssifizierung, die Veröffentlihung und die Arhivierung von Dokumenten zu Regierungsrtsgeshäften (Klssifizierungsverordnung, KRGV) Der Regierungsrt des Kntons Bern, gestützt

Mehr

x mit Hilfe eines linearen, zeitinvarianten

x mit Hilfe eines linearen, zeitinvarianten Übug &Prktiku zu Digitle Sigle ud Systee The: Fltug Diskrete Fltug Wird ei zeitdiskretes Sigl ( T ) x it Hile eies liere, zeitivrite Siglverrbeitugssystes verrbeitet, so lässt sich ds Verhlte des verrbeitede

Mehr

Definition Suffixbaum

Definition Suffixbaum Suffix-Bäume Definition Suche nch einer Menge von Mustern Längste gemeinsme Zeichenkette Pltzreduktion Suffixbäume für Muster Alle Pre Suffix-Präfix Übereinstimmung Sich wiederholende Strukturen Definition

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13 Inhltsverzeichnis Inhltsverzeichnis... 3.Logik... 2 3. Zhlensysteme... 2 3.2 Grundegriffe zweiwertiger Logik... 3 3.3 Rechengesetze für logische Ausdrücke... 9 3.4 Logische Funktionen... 24 3.5 Logische

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

3 Wiederholung des Bruchrechnens

3 Wiederholung des Bruchrechnens 3 Wiederholung des Bruchrechnens Ein Bruch entsteht, wenn ein Gnzes in mehrere gleiche Teile zerlegt wird. Jeder Bruch besteht us dem Zähler, der Zhl über dem Bruchstrich, und dem Nenner, der Zhl unter

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

McAfee Firewall Enterprise

McAfee Firewall Enterprise Hnduch für den Schnellstrt Revision B McAfee Firewll Enterprise Version 8.3.x In diesem Hnduch für den Schnellstrt finden Sie llgemeine Anweisungen zum Einrichten von McAfee Firewll Enterprise (im Folgenden

Mehr

Aufbau und Funktionsweise eines Computers

Aufbau und Funktionsweise eines Computers Aufbu und Funktionsweise eines Computers Ein Überblick Vorlesung m 27..5 Folien von A.Weber und W. Küchlin, überrbeitet von D. Huson Digitle Logik und Boolesche Algebr Wie werden logische und rithmetische

Mehr

Therapiebegleiter Kopfschmerztagebuch

Therapiebegleiter Kopfschmerztagebuch Vornme & Nchnme Therpieegleiter Kopfschmerztgeuch Liee Ptientin, lieer Ptient, Wie Können sie helfen? Bitte führen Sie regelmäßig euch m esten täglich. Trgen Sie in die Splten die jeweiligen Informtionen

Mehr

Installations und Bedienungsanleitung

Installations und Bedienungsanleitung Instlltions und Bedienungsnleitung EKRUCBS Instlltions und Bedienungsnleitung Deutsch Inhltsverzeichnis Inhltsverzeichnis Für den Benutzer 2 1 Schltflächen 2 2 Sttussymole 2 Für den Instllteur 3 3 Üersicht:

Mehr

Mathematik PM Rechenarten

Mathematik PM Rechenarten Rechenrten.1 Addition Ds Pluszeichen besgt, dss mn zur Zhl die Zhl b hinzuzählt oder ddiert. Aus diesem Grunde heisst diese Rechenrt uch Addition. + b = c Summnd plus Summnd gleich Summe Kommuttivgesetz

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Übungsblatt Gleichungssysteme Klasse 8

Übungsblatt Gleichungssysteme Klasse 8 Üungsltt Gleichungsssteme Klsse 8 Auge : Berechne die Lösungen des Gleichungspres: I II 7 Kontrolliere durch Einseten. Auge : Löse dem Additionsverhren: I 7-6 II 9 Auge : Gegeen ist olgendes linere Gleichungssstem

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Repetitionsaufgaben Wurzelgleichungen

Repetitionsaufgaben Wurzelgleichungen Repetitionsaufgaben Wurzelgleichungen Inhaltsverzeichnis A) Vorbemerkungen B) Lernziele C) Theorie mit Aufgaben D) Aufgaben mit Musterlösungen 4 A) Vorbemerkungen Bitte beachten Sie: Bei Wurzelgleichungen

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

BÜrO HYPER aufgebautes BÜrOsYsteM

BÜrO HYPER aufgebautes BÜrOsYsteM 5 JAHRE NACHKAUFGARANTIE BÜrO HYPER UFGeBUtes BÜrOsYsteM Gerundete ecken und Knten nch din-fchbericht 147 schreibtisch und ergonomische Mße nch din En 527-1 sthl-orgzrge mit verdeckter Führung, Präzisionsuszüge

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr