PVK Digitaltechnik FS14 (Prof. Tröster) Prüfungsvorbereitungskurs von Andreas Biri, D-ITET

Größe: px
Ab Seite anzeigen:

Download "PVK Digitaltechnik FS14 (Prof. Tröster) Prüfungsvorbereitungskurs von Andreas Biri, D-ITET"

Transkript

1 PVK Digitaltechnik FS14 (Prof. Tröster) Prüfungsvorbereitungskurs von Andreas Biri, D-ITET Andreas Biri,

2 Organisatorisches PVK: 2 Halbtage à 3 Stunden Beginn: 9:15 respektive 13:15 Unterlagen: Zusammenfassungen: Pascal Hager Lukas Kull (va. für sequentielle Schaltungen & Automaten ) Selbstverfasstes Check-Blatt online unter obigem Link Andreas Biri

3 Overview Fach: Digitaltechnik, Professor Tröster Schwierigkeit: stofflich gut machbar ( Schnitt: 4.12 ) Achtung: ist somit auch für alle andern leicht! nicht unterschätzen Prüfung 2 Stunden, «open books» Sehr viele Aufgaben (hauptsächlich Anwendungen), wenig Zeit Immer die gleichen Aufgabentypen! Lernt diese Aufgabentypen, um genügend schnell zu sein Andreas Biri

4 Programm Heute: Gatter & Schaltnetze (Logikfunktionen) Karnaugh-Diagramm & Schaltungssynthese CMOS Wichtige Schaltungen Morgen: Zahlensysteme & Codes Sequentielle Schaltungen (Latches, Flipflops) Automaten Die Basis-Prüfung Andreas Biri

5 Wozu Digitaltechnik? Analoge Signale Digitale / diskrete Werte Andreas Biri

6 Binär-Logik Nur zwei definierte Zustände (mit Toleranzbereichen): Diskrete Grössen bestehen aus abzählbar vielen Elementen Diskretisierung Zustandscodierung: 0 1 Low High 0 V ( V) (4.5 V - ) 5 V Wertemenge W 1 + int log 2 W Binärstellen n Bits 2 n Zustände Andreas Biri

7 1. Gatter & Schaltnetze Logikfunktionen und ihre Kombinationen Andreas Biri

8 Wahrheitstabelle Log. Gleichung Schaltzeichen Gatter Gatter (frz. relay) : technische Realisierungen der Bool schen Logik (0/1) Verarbeitung v. Informationen auf Bitlevel & entsprechende Ausgabe Andreas Biri

9 Nun seit Ihr dran : Aufgabe 1 Wenn die (amerikanischen) Symbole nicht bekannt waren, ergänzt sie auf eurer Zusammenfassung! XNOR A B + ( A B ) Andreas Biri

10 Wahrheitstabelle Definiert den zu einer gewissen Eingangkombination zugeordneten Ausgang und somit die Funktionsweise der Schaltung Grösse der Wahrheitstabelle: n Eingänge 2 n Zeilen n Eingänge 2 n Einganskombinationen 2 2n Kombinationen v. Ausgangzustände Wahrheitstabelle ist eine konkrete Kombination dieser Zustände Andreas Biri

11 Funktionsgleichung Beschreibt die Verknüpfungungen der Eingangssignale und definiert das dazugehörige Ausgangssignal Eindeutig in eine Wahrheitstabelle umschreibbar, bzw. aus einer Wahrheitstabelle aufstellbar Bsp: Suche Gleichung & Wahrsch.tabelle Z = (A B) (A + B) Andreas Biri

12 2. Karnaugh- Diagramme & Schaltungssynthese Optimierung & Umformung von Schaltungen Andreas Biri

13 Schaltungssynthese Minterm: UND-Verknüpfung aller Schaltvariablen Nur in 1 Fall true, sonst false Bsp. : A B C D nur 1 bei Maxterm: ODER-Verknüpfung aller Schaltvariabeln Nur in 1 Fall false, sonst true Bsp. : A + B + C + D nur 0 bei Kanonisch disjunktive Normalform: Ver-ODER-ung aller UND-Termen / Minterme A B + C D Kanonisch konjunktive Normalform: A + B Ver-UND-ung aller ODER-Termen / Maxterme C + D Andreas Biri

14 Kombinatorik & Schaltalgebra Rechenregeln: benütze normales Punkt vor Strich : ODER + : UND Nur NANDS: A B A B, A + B = A B Nur NORS: A B A + B, A + B = A + B De Morgan: A + B + (C + D) = (A + B) C + D A B (C D) = (A B) + (C D) Andreas Biri

15 Karnaugh- Diagramm Zwei- oder mehrdimensionale Tabellen Meistens 3 oder 4 Variabeln 2-dimensional Geben eine übersichtliche Darstellung der Normalformen Trick: kann sehr schnell in eine Wahrheitstabelle überführen Päckchen Bildung Nur von der Grösse 2 i, i = 0,1,2, Nur rechteckige Päckchen Mach sie so gross wie möglich (besser optimiert) Päckchen desselben Wertes zusammenfassen (NAND: 1, NOR: 0) Andreas Biri

16 Kleine Übung ( Aufgabe 3) Andreas Biri

17 Kleine Übung ( Aufgabe 3) Andreas Biri

18 Optimierung mittels Karnaugh Hazards : Fehler durch Verzögerungen bei Invertern Zu finden bei angrenzenden Päckchen im Karnaugh-Diagramm Lösung: Verbinden der Päckchen durch weitere Verknüpfung «Don t care» - Zustände Unwichtige Zustände (zb. nicht auftretbar) Können zum Optimieren durch die Bildung grösserer Päckchen verwendet werden Achtung bei JK/SR-FF: Nicht Q n wegoptimieren, wird benötigt Andreas Biri

19 3. CMOS Complementary Metal Oxide Semiconductors NMOS & PMOS Andreas Biri

20 NMOS & PMOS CMOS ist eine Komplementär-Technologie: NMOS ( negative MOS ) : schaltet durch bei High Nur mit Verbindung zu Ground verwenden ( Pull Down ) PMOS ( positive MOS ) : schaltet durch bei Low Nur mit Verbindung zur Versorgungsspannung ( Pull Up ) Es braucht immer einen Strompfad zwischen Versorgungsspannung/Masse und Gatterausgang Andreas Biri

21 Pull-Up und Pull-Down CMOS Schaltungen bestehen immer sowohl aus einem Pull-Up sowie einem entsprechenden Pull-Down-Pfad. Eines parallel, anderes seriell Seriell : entspricht UND Parallel : entspricht ODER A C Vcc B Z A B C Ground Andreas Biri

22 Aufgabe 4 Gleichung? Pull-Down: Ausgang negieren Pull-Up : Eingang negieren Andreas Biri

23 Gatter in CMOS NAND NOR NOT AND und OR werden mit CMOS durch eine Kombination von NAND/NOR und einer Negierung erstellt! An der Prüfung müssen alle Bausteine durch NAND / NOR geschrieben werden können Andreas Biri

24 Aufgabe 5 Andreas Biri

25 4. Wichtige Schaltungen (De-) Multiplexer, Tristate, PAL, Halb- / Volladdierer Andreas Biri

26 Multiplexer & Demultiplexer Multiplexer Demultiplexer Formel: OUT = A sel + B sel Formel: OUT 0 = IN sel, OUT 1 = IN sel Funktion: Wähle Eingang Funktion: Wähle Ausgang Andreas Biri

27 Tristate-Buffer Tristate: kann 3 Zustände annehmen Z : Hoch-ohmig / «floating» : Eingang beeinflusst Ausgang nicht Anwendung zb. bei Bus-Systemen ( Übung ) Tabelle komplett A B C Z 1 1 Z Tabelle verkürzt B C 0 A 1 Z Andreas Biri

28 Programmable Array Logic ( PAL ) Abbildung der disjunktiven Normalform auf Hardware Programmierbaren UND-Arrays Festverdrateten ODER NB : Gibt auch PLAs ODER auch programmierbar Andreas Biri

29 Aufgabe 6 Andreas Biri

30 Halb- & Volladdierer Halbaddierer addiert 2 Bits Volladdierer addiert 2 Bits + Übertrag 0 Andreas Biri

31 5. Zahlensysteme & Codes Darstellung im Dezimal- & Binärsystem und (fehlerkorrigierende) Codes Andreas Biri

32 Dual / Oktal / Hexadecimal / Decimal Dualzahlen: Dual Dezimal = = = MSB u. LSB (Most und Least Significant Bits) 2. Dezimal Dual 190 (10) = = = = (2) Methode: i) Zahl durch 2 dividieren ii) Rest notieren als vorderste Zahl ( als MSB setzen ) iii) i und ii wiederholen bis Ergebnis 0 ist 3. Dual Oktal/Hexadezimal Dualzahl Päckchen bilden (von rechts): = 2AB1 (16) 4 Dualziffern 1 Hexadezimalziffer = (8) 3 Dualziffern 1 Oktalziffer 4. Dezimal Oktal/Hexadezimal : zuerst in Dualzahl umrechnen, danach Methode oben Andreas Biri

33 Zweierkomplement: die negative Dualzahl A B A + Zweierkomplement B Zweierkompl. Zweierkompl. Z = Z 1. Zweierkomplement Dezimal Variante 1: = = Variante 2: 1. Bitweise invertieren: addieren: Umrechnen nach (10) = = (Vorzeichen!) 2. Dezimal Zweierkomplement Variante 1: Grösste 2-er Potenz negativ, Rest addieren: = = Variante 2: 1. Als vorzeichenlose Dualzahl darstellen = Bitweise invertieren addieren = Dual/Zweierkomplement mit Nachkommastellen Rechnung Analog: : = 010,11 101,01 2 (= = 2.75 ) Andreas Biri

34 (Tetraden-) Codes Gewichtung der Bits: Zahl = b b b b 4 1 Andreas Biri

35 Parity Bits Pro Anzahl Bits ein zusätzliches Parity Bit zur Fehlererkennung Even Parity: Anzahl 1 gerade Odd Parity: Anzahl 1 ungerade Parity-Checker kommt oft an Prüfung Teils auch 2 Parity-Bits zur Korrektur Andreas Biri

36 Aufgabe 7 Andreas Biri

37 6. Sequentielle Schaltungen Latches & Flipflops Andreas Biri

38 Sequentielle vs. kombinatorische Schaltungen Kombinatorisch: normale Logikgatter (bis jetzt) kann jederzeit ändern (unabhängig von Takt) Bisheriger Zustand beeinflusst Ausgang nicht ( nur Eingang) Sequentiell: Latches & Flipflops Kann nur zu gewissen Zeiten den Zustand wechseln Ausgang von den Eingängen, aber auch vom vorherigem Zustand / den gespeicherten Werten abhängig ( Rückkopplung ) Latch: ist während der gesamten Taktphase transparent Flip-Flop: funktioniert wie ein taktflankengesteurtes Latch Latch zustandsgesteuert FF taktflankengesteuert Andreas Biri

39 SR-Latch ( nicht taktgesteuert ) Taktsteuerung: Ver-UND-ung d. Eingänge mit Taktsignal Andreas Biri

40 Die vier verschiedenen Latches Set / Reset (SR) Latch S = 1, R = 0 : Set ; S = 0, R = 1 : Reset ; S = 0 = R : Speichern Achtung : S = 1 & R = 1 ist nicht erlaubt ( Oszillation bei Speichern) Delay (D) - Latch T = 0 : Ausgang konstant ; T = 1 : Ausgang = Eingang (transparent) entsteht durch SR-Latch mit R = inv(s) Toggle(T) Latch T = 0 : OUT = IN ; T = 1 : Ausgang = negiertes Eingangsignal Jump / Kill (JK) Latch SR-Latch ohne verbotenen Zustand : S = 1 = R : OUT = inv(in) Mit dem JK-Latch können alle andern Latches gebaut werden Andreas Biri

41 Flip-Flops Andreas Biri

42 Nicht-Idealitäten von Gatter Gattern ändern ihre Signale nicht instantan Zeiten charakterisiert durch t propagation t setup t hold Andreas Biri

43 Zeitverhalten von sequentiellen Schaltungen Setup Zeit: wie lange Signal vor der aktiven Taktflanke unverändert anliegen muss Hold-Zeit: wie lange Signal nach der aktiven Taktflanke unverändert anliegen muss Andreas Biri

44 Zeitverhalten von sequentiellen Schaltungen Maximale Frequenz suche längsten Pfad zwischen zwei Flipflops (f = 1 T ) T = Gatter T pd,i + T pd + T setup T hold Andreas Biri

45 7. Automaten Lets build some usefull stuff Andreas Biri

46 Definition Automat Ein Ausgang eines Automaten hängt vom Eingangssignal und dem momentanen Zustand des Systems ab X = (x 1, x 2,, x e ) Y = (y 1, y 2,, y b ) Z = (z 1, z 2,, z m ) Eingabealphabet Ausgabealphabet Zustandsmenge g: x i, z i z k Übergangsfunktion f: x i, z i y r Ausgangsfunktion 1. Zustandsmenge bestimmen, Definition d. Variablen 2. Darstellung der Zustandsfolge in Zustandsdiagramm 3. Zustandsfolgetabelle aufstellen & Funktionen minimieren Andreas Biri

47 Andreas Biri

48 Beschreibung der Funktion v. Schaltwerken Folgezustandstabelle: beschreibt die Folgezustände und Ausgänge abhängig von jetzigem Zustand u. Eingang Zustandsgraph: beschreibt den Automaten eindeutig Andreas Biri

49 Aufgabe 8 Andreas Biri

50 Lösung Aufgabe 8 Andreas Biri

51 Lösung Aufgabe 8 0 Bei kanonischer NF: Variablen invertiert übernehmen! Andreas Biri

52 Lösung Aufgabe 8 Andreas Biri

53 Komplexere Schaltungen Frequenzteiler f / 2 pro Stufe Asynchronzähler Beides durch 3-Bit-Dualzähler realisiert Andreas Biri

54 Komplexere Schaltungen Synchronzähler Beachte Reset-Eingang bei D-FFs Meist als Medwedjew-Automat Schieberegister Eingangspuffer Zufallszahlengenerator Andreas Biri

55 8. Die Basis-Prüfung Struktur der DigiTech-BP Andreas Biri

56 Teil 1 : Automaten Tipp: Am Ende lösen! A : Entwerfen einer Maschine für eine bestimmte Aufgabe B : Schaltungs-Entwicklung mit Zuständen & Wahrheitstabelle Sehr zeitaufwändig und fehleranfällig! Siehe Aufgabe 8 : alle weiteren Schritte hängen voneinander ab 1. Zustandsgraph, 2. Karnaugh-Diagr., 3. Schaltung zeichnen, 4. Bonus Andreas Biri

57 Teil 2 : CMOS Immer Schaltbild Eingang / Ausgang bestimmen Einzelne Komponenten einzeichnen Bei uns: Komponenten hinzufügen! Pull - Up / Pull Down Einfache Gatterlogik Wahrheitstabelle & Funktion beschreiben Andreas Biri

58 Teil 3 : Zahlensysteme A : Umrechnen von Zahlensystemen Hex in Dec / Dual / Oct ( Gruppieren für 2er-Systeme) Umrechnung Zweierkomplement Komma-Stellen mit minimalem Umwandlungsfehler muss Methodik kennen, dann sehr einfach B : Bestimmter technischer Apparat / Methodik Bsp : Multiplikation mittels Booth-Algorithmus Parallel-Multiplikation Halb- / Volladdierer Teilweise sehr mühsam und undurchsichtig; kann nicht gelernt werden auch eher am Ende versuchen Tipp: Am Ende lösen! Andreas Biri

59 Teil 4 : Sequentielle Schaltungen A : Bauteile benennen und theoretische Fragen Bsp Unterschied Latch vs. Flipflop Zustandgesteuert vs. Flankengesteuert Hold-Zeit vs. Propagation Delay oder Setup-Zeit B : Bauteile / Methodik Bsp : Parity-Checker, Linear Feedback Shift Register Tipp: Am Ende lösen! C : Zeitverhalten Maximale Taktfrequenz bestimmen Veränderung von Parametern Andreas Biri

60 Teil 5 : Kombinatorik Vereinfachung von Funktionsgleichungen Karnaugh Hazard-Erkennung und -Behebung Rechenregeln ( müssen auf die Zusammenfassung! ) PAL Programmierung Umrechnung disjunktive / konjunktive Normalform ( Karnaugh ) Als Schaltung zeichnen Implementation minimieren (möglichst wenig Bauteile) Andreas Biri

61 Noch Fragen? Bis zu Beginn der Prüfungszeit: Andreas Biri

62 Wie geht s weiter? Noch einen Monat bis zur Prüfung ( viel Zeit! ) Empfehlungen momentan nicht viel Zeit mit DigiTech verbringen und anders investieren Die Zusammenfassung selbst verfassen / zusammenstellen und den Stoff verstanden haben Eine oder zwei Prüfungen schreiben in der Zwischenzeit, um ein Gefühl dafür zu bekommen, wie gut Ihr die Materie versteht In den letzten zwei Wochen vor der Prüfung vermehrt DigiTech- Prüfungen lösen, direkt vorher nochmals 2-4 fürs Tempo Andreas Biri

63 Ende Viel Glück bei den Prüfungen!

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0 1. Grundlagen Binär: Es existieren nur zwei definierte Zustände (0, 1), (L, H), (0V, 5V), Redundanz: Anzahl notwendige Bitstellen, um Zustände zu kodieren: Z = 2n (n=anzahl Bits) Kontinuierlich Diskret

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 Vorlesung mit begleitendem Praktikum Klaus Kasper Achtung! Vorlesung am 3.4.27 fällt aus! Nächste Vorlesung am 2.4.27! Organisation des Praktikums Betreuung: Michael Müller, Klaus

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Einführung in die Digitaltechnik

Einführung in die Digitaltechnik Einführung in die Digitaltechnik Von Professor Dr.-Ing. Heinz-Georg Fehn Fachhochschule Münster Mit 212 Bildern und 71 Tabellen J. Schlembach Fachverlag ULBDwmstadt Inhaltsverzeichnis 1 Einführung 1 1.1

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN:

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN: Stichwortverzeichnis Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISBN: 978-3-446-42737-2 Weitere Informationen oder Bestellungen unter http://www.hanser.de/978-3-446-42737-2

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

1.6 Mikroelektronik - Speichernde Schaltungen RAM

1.6 Mikroelektronik - Speichernde Schaltungen RAM Schaltnetze alle zuvor skizzierten Schaltungen Kombinatorische Logik aus Gattern Boole sche Algebra / Schaltalgebra Realisiert Funktionen: f (X) = Y beliebig kompliziert damit kann aber noch nicht gerechnet

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis

Inhaltsverzeichnis. Inhaltsverzeichnis Inhaltsverzeichnis Hinweis: Inhalte, die weiterführend herausforderndere und schwierigere Themen aufgreifen, sind vorrangig für bereits erfahrene Leser bestimmt und können bei einer ersten Befassung durchweg

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Zahlensysteme und Kodes. Prof. Metzler

Zahlensysteme und Kodes. Prof. Metzler Zahlensysteme und Kodes 1 Zahlensysteme und Kodes Alle üblichen Zahlensysteme sind sogenannte Stellenwert-Systeme, bei denen jede Stelle innerhalb einer Zahl ein besonderer Vervielfachungsfaktor in Form

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 9 Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK AUFGABE CMOS Beschreibung: Sei die Schaltfunktion f x 3, x 2, x, x 0 = x 0 x x

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Dirk W. Hoffmann Grundlagen der Technischen Informatik 3., neu bearbeitete Auflage Mit 356 Bildern, 57 Tabellen und 95 Aufgaben HANSER Inhaltsverzeichnis 1 Einführung 11 1.1 Was ist technische Informatik?

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

Grundlagen Digitaltechnik (_GDT_WS2012_02.pdf)

Grundlagen Digitaltechnik (_GDT_WS2012_02.pdf) Grundlagen Digitaltechnik (_GDT_WS202_02.pdf) Wintersemester 202 Inhaltsverzeichnis Zahlensysteme 2 Logiken 3 Schaltalgebra 4 Logikoptimierung 5 Schaltnetze 6 Schaltwerke I 7 Schaltwerke II Inhaltsverzeichnis

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker Klaus Fricke Digitaltechnik Lehr- und Übungsbuch für Elektrotechniker und Informatiker 2., durchgesehene Auflage Mit 147 Abbildungen und 86 Tabellen Herausgegeben von Otto Mildenberger vieweg VII 1 Einleitung

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Signale und Logik (3)

Signale und Logik (3) Signale und Logik (3) Zwischenbilanz der bisherigen Erkenntnisse: Prof. Dr. A. Christidis SS 205 Energieformen (z.b. Elektrizität) können auch als Signale (=Informationsträger) genutzt werden (vgl. Telegraph).

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Von der Schaltungslogik zur Informationsverarbeitung

Von der Schaltungslogik zur Informationsverarbeitung Wintersemester 7/8 Schaltungslogik. Kapitel Von der Schaltungslogik zur Informationsverarbeitung Prof. Matthias Werner Professur Betriebssysteme 49 Schaltnetze! Gatter implementieren boolesche Funktionen

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

Rechnerorganisation. H.-D. Wuttke `

Rechnerorganisation. H.-D. Wuttke ` Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Digitale Zähler Andreas Zbinden 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung Im vorliegenden Dokument werden asynchrone und synchrone,

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

Das negative Zweierkomplementzahlensystem. Ines Junold 23. Februar 2010

Das negative Zweierkomplementzahlensystem. Ines Junold 23. Februar 2010 Das negative Zweierkomplementzahlensystem Ines Junold 23. Februar 2010 1 Inhaltsverzeichnis 1 Einleitung 3 2 Das konventionelle Zweierkomplement 4 2.1 Definition.......................................

Mehr

Kapitel 3 - PLA und Flip-Flops

Kapitel 3 - PLA und Flip-Flops Kapitel 3 - PLA und Flip-Flops Programmable Logic Array (PLA) Die Idee eines PLAs ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr