Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Größe: px
Ab Seite anzeigen:

Download "Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2"

Transkript

1 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind Voraussetzung für das Verständnis von chaltnetzen. Wiederholen und vertiefen ie Ihre Kenntnisse im elbststudium. Aufgabe 1: Vereinfachung kombinatorischer chaltungen mittels KV-Diagramm Gegeben ist die Wahrheitstabelle einer kombinatorischen chaltung mit drei Eingangsvariablen und zwei Ausgangsvariablen. Gesucht ist die technische Realisierung mit dem geringsten chaltungsaufwand. Verwenden ie die vorgegebenen KV-Diagramme. Erläutern ie Ihr Vorgehen a) Bestimmen ie die Funktionsgleichung für den Ausgang 1 als Minimierte Disjunktive Normalform (MDNF). Entwerfen ie den chaltplan anhand der MDNF ausschließlich unter Verwendung von AND-, OR- und NOT-Gattern. 1 = b) Bestimmen ie die Funktionsgleichung für den Ausgang 2 als Minimierte Disjunktive Normalform (MDNF). Entwerfen ie den chaltplan anhand der MDNF ausschließlich unter Verwendung von AND-, OR- und NOT-Gattern. 2 = 1

2 Aufgabe 2: Anwendung kombinatorischer chaltungen Binärkodierer Gegeben ist die Prinzipschaltung eines parallelen 2-Bit A/D-Wandlers. Entwickeln ie die chaltung des Binärkodierers (Coder) unter Verwendung des KV-Diagramms. Ziel ist eine technische Umsetzung mit minimalem chaltungsaufwand. a) Erläutern ie die Funktion des A/D-Wandlers. OPV 4 OPV3 C OPV2 OPV1 Z A a) Erläutern ie die Funktion des A/D-Wandlers. Gehen ie auf die Funktion der einzelnen Bauelemente ein. b) Der A/D-Wandler wird mit einer Betriebsspannung von 5V und einer Referenzspannung von Uref = 4V betrieben. Der Pegel des analogen Eingangssignals Ue beträgt 0-5V. Ab welchen Pegeln des Eingangssignals Ue wechseln die Ausgänge der einzelnen OPVs jeweils von low zu high? c) tellen ie die vollständige Wahrheitstabelle für alle Ein- und Ausgangszustände des Binärkodierers unter Verwendung der gegebenen Variablenzuordnung auf. Hinweis: Berücksichtigen ie, dass einige Eingangsbelegungen technisch nicht möglich sind. Da diese Eingangsbelegungen also praktisch nicht vorkommen, ist der resultierende Ausgangszustand des Coders folglich irrelevant. Diese Eingangsbelegungen werden als Don t-care-zustände bezeichnet. In der Wertetabelle wird diesen Zuständen zunächst kein fester Ausgangswert sondern die Variable X zugewiesen. 2

3 d) Bestimmen ie die Funktionsgleichung in Minimierter Disjunktiver Normalform (MDNF) für beide Ausgänge unter Verwendung des KV-Diagramms. Hinweis: Durch geeignete Wahl der Ausgangsvariable der Don t-care-zustände kann die Größe der zusammengefassten Gebiete maximiert und deren Anzahl minimiert werden. : = Z: Z = e) Entwerfen ie den chaltplan des Binärkodierers anhand der Funktionsgleichung (MDNF). Hinweis: Diese chaltung entspricht der technischen Realisierung mit dem geringsten chaltungsaufwand, wenn im KV-Diagramm benachbarte Felder zu einer minimalen Anzahl von Gebieten mit maximaler Größe zusammengefasst wurden. 3

4 Aufgabe 3: Anwendung kombinatorischer chaltungen Addierer Bei den heutigen digitalen Computern werden ALLE arithmetischen Funktionen auf einfache binäre Addition zurückgeführt. elbst die ubtraktion erfolgt im Addierwerk durch Addition im Zweierkomplement. Das Addierwerk ist folglich die einzige Komponente eines Computers, welche tatsächlich arithmetische Operationen ausführt. Für jede Dualstelle der zu addierenden Zahlen ist eine solche Addierschaltung erforderlich. Der chaltungsaufbau kann aus den Additionsregeln für Dualzahlen abgeleitet werden. a) Addieren ie schriftlich folgende Dualzahlen und erläutern ie das Vorgehen: 0(2) + 0(2) : 1(2) + 0(2) : 0(2) + 1(2) : 1(2) + 1(2) : 0101(2) +1101(2) : b) 1-Bit-Halbaddierer können zwei einstellige Dualzahlen X und addieren. Ergebnis der binären Addition sind die umme und der Übertrag C. Bestimmen ie anhand der gegebenen Wertetabelle die Funktionsgleichungen beider Ausgangsvariablen und C. Entwickeln ie eine chaltung für die technische Realisierung eines 1-Bit-Halbaddierers. X C 4

5 c) Der 1-Bit-Halbaddierer liefert für 1+1 einen Übertrag, kann aber selbst Überträge, welche von anderen Additionsstufen stammen, nicht verarbeiten. Der 1-Bit-Volladdierer besitzt zusätzlich den Eingang CIN, welcher bei Addition mehrstelliger Dualzahlen den Übertrag aus der vorhergehenden, wertniedrigeren Dualstelle übernimmt und diesen zur umme der Eingangsvariablen X und addiert. Technisch realisiert werden kann ein Volladdierer durch zwei Halbaddierer und ein ODER Gatter. Ergänzen ie die folgende Wertetabelle des 1-Bit-Halbaddierers. X CIN COUT Bestimmen ie die Funktionsgleichungen beider Ausgangsvariablen in Minimierter Disjunktiver Normalform (MDNF) unter Verwendung des KV-Diagramms. = COUT = 5

6 d) Entwerfen ie den chaltplan des 1-Bit-Volladdierers anhand der Funktionsgleichungen. e) Mehrere 1-Bit-Volladdierer können zu Addierwerken für mehrstellige Binärzahlen verknüpft werden. Im Folgenden ist ein 4-Bit-Addierwerk dargestellt. Addiert werden sollen zwei vierstellige Binärzahlen X und. Erläutern ie den Addiervorgang, gehen ie hierzu auf die Zustände aller Zwischenvariablen ein. Beginnen ie mit der Addition der beiden geringwertigsten Binärstellen (LB). X + = 0101 (2) (2) =? X0 0 0 CIN 0 LB X VA LB COUT CIN LB 1 X1 X VA 1 COUT CIN 2 X2 2 X VA COUT CIN MB 3 X3 MB X VA 3 MB COUT Ü= 6

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Menschliches Addierwerk

Menschliches Addierwerk Menschliches Addierwerk Einleitung In seinem Buch The Three-Body Problem 1 beschreibt der chinesische Autor Liu Cixin die Entwicklung eines Computers, der aus mehreren Millionen Menschen zusammengesetzt

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik 12 Cauerstraße 11 91058 Erlangen TECHNICHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (NAND-chalterfunktion) Es soll ein NAND-Gatter

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Achtung: Übung Nr. D1. Inhaltsverzeichnis

Achtung: Übung Nr. D1. Inhaltsverzeichnis Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz L. Ardila Perez, P. Pstner, D. avoiu, B. iebenborn 3. November 7 Übung Nr. D Inhaltsverzeichnis. L-Gatter.............................................

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Kapitel 6 - Addierwerke

Kapitel 6 - Addierwerke Kapitel 6 - Addierwerke Versuch 600 Halbaddierer und Volladdierer Der bürgerliche Algorithmus des schriftlichen Addierens zerlegt die binäre Addition in die folgenden elementaren Additionen. Es ergibt

Mehr

Signale und Logik (3)

Signale und Logik (3) Signale und Logik (3) Zwischenbilanz der bisherigen Erkenntnisse: Prof. Dr. A. Christidis SS 205 Energieformen (z.b. Elektrizität) können auch als Signale (=Informationsträger) genutzt werden (vgl. Telegraph).

Mehr

Einführung in die Informatik I

Einführung in die Informatik I Einführung in die Informatik I Arithmetische und bitweise Operatoren im Binärsystem Prof. Dr. Nikolaus Wulff Operationen mit Binärzahlen Beim Rechnen mit Binärzahlen gibt es die ganz normalen arithmetischen

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Kapitel 5. Standardschaltnetze. Prof. Dr. Dirk W. Hoffmann. Hochschule Karlsruhe w University of Applied Sciences w Fakultät für Informatik

Kapitel 5. Standardschaltnetze. Prof. Dr. Dirk W. Hoffmann. Hochschule Karlsruhe w University of Applied Sciences w Fakultät für Informatik Kapitel 5 Standardschaltnetze Prof. Dr. Dirk W. Hoffmann Hochschule Karlsruhe w Universit of Applied Sciences w Fakultät für Informatik Inhalt und Lernziele Inhalt Vorstellung der wichtigsten Standardelemente

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Halbaddierer - und Volladdierer - Schaltungen

Halbaddierer - und Volladdierer - Schaltungen Fachhochschule erlin Labor für digitale Elektronik DE ufgabe DE Protokol albaddierer - und Volladdierer - chaltungen albaddierer - und Volladdierer - chaltungen Lernziel: Erfahrungen über einige wichtige

Mehr

Seminararbeit Sommersemester 2017

Seminararbeit Sommersemester 2017 Schaltkreise für die Addition Seminararbeit Sommersemester 2017 Bearbeitet von: Maximilian Breymaier (Matrikelnummer: 57214) Christoph Mantsch (Matrikelnummer: 57266) Betreuer: Prof. Dr. Thomas Thierauf

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Inhalt. Zahlendarstellungen

Inhalt. Zahlendarstellungen Inhalt 1 Motivation 2 Integer- und Festkomma-Arithmetik Zahlendarstellungen Algorithmen für Integer-Operationen Integer-Rechenwerke Rechnen bei eingeschränkter Präzision 3 Gleitkomma-Arithmetik Zahlendarstellungen

Mehr

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur Universität Koblenz-Landau Übungen zur Vorlesung Grundlagen der Rechnerarchitektur - Sommersemester 2018 - Übungsblatt 2 Abgabe bis Montag, 28. Mai 2018, 23:59 Uhr als pdf via SVN Punkte Kürzel A1 (10)

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 12 Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 AUFGABE 1 KOMPARATOR Beschreibung Entwickeln Sie eine digitale Schaltung, die zwei Bits a und b miteinander

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008 Informatik II Rainer Schrader Zentrum für Angewandte Informatik Köln 3. November 008 1 / 47 / 47 jede Boolesche Funktion lässt mit,, realisieren wir wollen wir uns jetzt in Richtung Elektrotechnik und

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Von der Schaltungslogik zur Informationsverarbeitung

Von der Schaltungslogik zur Informationsverarbeitung Wintersemester 7/8 Schaltungslogik. Kapitel Von der Schaltungslogik zur Informationsverarbeitung Prof. Matthias Werner Professur Betriebssysteme 49 Schaltnetze! Gatter implementieren boolesche Funktionen

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Physikalisches Praktikum für Vorgerückte 3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Simon C. Leemann, Abteilung für Physik Versuch: Digitale Elektronik November 998 Zusammenfassung In diesem Bericht

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

Zahlensysteme und Kodes. Prof. Metzler

Zahlensysteme und Kodes. Prof. Metzler Zahlensysteme und Kodes 1 Zahlensysteme und Kodes Alle üblichen Zahlensysteme sind sogenannte Stellenwert-Systeme, bei denen jede Stelle innerhalb einer Zahl ein besonderer Vervielfachungsfaktor in Form

Mehr

IT 1 Übung / Kombinatorische Logik1

IT 1 Übung / Kombinatorische Logik1 IT 1 Übung / Kombinatorische Logik1 Lehrziel dieser Übung ist es eine kombinatorische Logikschaltung aufzubauen. Weiters wird die Schaltung simuliert und messtechnisch überprüft. Übungsdurchführung: 1.

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Grundlagen der technischen Informatik

Grundlagen der technischen Informatik Prof. Dr. Dieter Kranzlmüller Dr. Nils gentschen Felde Dr. Karl Fürlinger Stephan Reiter Christian Straube Grundlagen der technischen Informatik Workshop im Rahmen des Informatik-Probestudiums 2012 1 Überblick/Agenda

Mehr

1. Übung Rechnerorganisation/Rechnerarchitektur

1. Übung Rechnerorganisation/Rechnerarchitektur . Übung Rechnerorganisation/Rechnerarchitektur Grundlagen der Digitaltechnik Lehrveranstaltung: Prof. Dr. W. Rehm Bearbeiter: Friedrich eifert, 2.0.200 Ziel Kennenlernen der wesentlichsten Grundlagen von

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10 FB ET/IT Binäre Rechenoperationen WS 9/ Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbst erstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Addierer. Versuch 4 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik

Addierer. Versuch 4 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik TECHNICHE UNIVERITÄT ILMENAU Fakultät fr Elektrotechnik und Informationtechnik Fachgebiet Elektroniche chaltungen und yteme Veruch 4 im Informationelektronichen Praktikum tudiengang Elektrotechnik und

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1=

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1= Arithmetische Schaltungen c) Vervollständigen Sie nachfolgend abgebildeten Zustands-Automaten so, dass er den Multiplizierer wie gewünscht steuert. Nehmen Sie an, dass Sie zur Detektion des Schleifen-Abbruchs

Mehr

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze 82 8 Boolesche Algebra Die Boolesche Algebra ist eine Algebra der Logik, die George Boole (1815 1864) als erster entwickelt hat. Sie ist die Grundlage für den Entwurf von elektronischen Schaltungen und

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Rechnerarithmetik. Vorlesung im Sommersemester Eberhard Zehendner. FSU Jena. Thema: Addierschaltungen

Rechnerarithmetik. Vorlesung im Sommersemester Eberhard Zehendner. FSU Jena. Thema: Addierschaltungen Rechnerarithmetik Vorlesung im Sommersemester 2008 Eberhard Zehendner FSU Jena Thema: Addierschaltungen Eberhard Zehendner (FSU Jena) Rechnerarithmetik Addierschaltungen 1 / 19 Addierer für UInt 2 (l)

Mehr

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

GTI ÜBUNG 12 KOMPARATOR UND ADDIERER

GTI ÜBUNG 12 KOMPARATOR UND ADDIERER 1 GTI ÜBUNG 12 KOMPARATOR UND ADDIERER Aufgabe 1 Komparator 2 Beschreibung Entwickeln Sie eine digitale Schaltung, die zwei Bits a und b miteinander vergleicht. Die Schaltung besitzt drei Ausgänge: ist

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Inhalt Anwendungsbeispiel für eine ODER- Funktion Einleitung... 9

Inhalt Anwendungsbeispiel für eine ODER- Funktion Einleitung... 9 Inhalt Einleitung............................. 9 Kurze Einführung in die Grundlagen der digitalen Elektronik........................ 10 1.1 Was versteht man unter analog und was unter digital?.... 10 1.2

Mehr

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2 UNVESTÄT LEPZG nstitut für nformati Prüfungsaufgaben 2. Klausur zur Vorlesung WS 23/24 und SS 24 Prof. Dr. Martin Middendorf Dr. Hans-Joachim Liese Datum: Mittwoch, 9. Februar 25 Uhrzeit: 8 - Ort: H4 Aufgaben

Mehr

Rechnerorganisation 2.Vorlesung

Rechnerorganisation 2.Vorlesung Rechnerorganisation 2.Vorlesung Begriffe, Mathematische Grundlagen (1) Boolesche Algebren, Normalformen (2,3) Kombinatorische Schaltungen (4) Programmierbare Strukturen (5) Automaten, Sequentielle Schaltungen

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Multiplikationschip. Multiplikation. Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95. Oberwiesenstr.

Multiplikationschip. Multiplikation. Beitrag zu Werkstattunterricht Multiplikation Allgemeine Didaktik - Seminar SS95. Oberwiesenstr. Informationsblatt für die Lehrkraft Multiplikation Multiplikationschip Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95 Autor: Ernesto Ruggiano Oberwiesenstr. 42 85 Zürich

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

3.8 Sequentieller Multiplizierer 159

3.8 Sequentieller Multiplizierer 159 .8 Sequentieller Multiplizierer 59 Nachfolgende Abbildung zeigt den (unvollständigen) Aufbau einer Schaltung zur Implementierung des gezeigten Multiplikationsverfahrens. b) Vervollständigen Sie die Schaltung

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

(Prüfungs-)Aufgaben zu Schaltnetzen

(Prüfungs-)Aufgaben zu Schaltnetzen (Prüfungs-)Aufgaben zu Schaltnetzen 1) Gegeben sei die binäre Funktion f(a,b,c,d) durch folgende Wertetabelle: a b c d f(a,b,c,d) 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 a) Geben Sie die disjunktive Normalform

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik Fachbereich Physik Elektronikpraktikum 9 Logische Gatter Stichworte zur Vorbereitung: Logische Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem,

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Grundlagen der technischen Informatik Kapitel 4 Verarbeitungsschaltungen Pascal A. Klein, M.Sc. 4 Verarbeitungsschaltungen... 3 4.1 Einführung... 3 4.2 Addierer... 3 4.2.1 Halbaddierer... 3 4.2.2 Volladdierer...

Mehr

Addieren mit dem Computer Timm Grams, Fulda, (aktualisiert: )

Addieren mit dem Computer Timm Grams, Fulda, (aktualisiert: ) Addieren mit dem Computer Timm Grams, Fulda, 08.04.2010 (aktualisiert: 26.07.10) Verknüpfung binärer Variablen Die Grundfunktionen eines modernen Digitalrechners lassen sich gut anhand von Relaisschaltungen

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Rechnerorganisation 2.Vorlesung

Rechnerorganisation 2.Vorlesung Rechnerorganisation 2.Vorlesung Begriffe, Mathematische Grundlagen (1) Boolesche Algebren, Normalformen (2,3) Kombinatorische Schaltungen (4) Programmierbare Strukturen (5) Automaten, Sequentielle Schaltungen

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Teil 1: Logik 1b: Schaltnetze

Teil 1: Logik 1b: Schaltnetze chaltnetze Teil : Logik b: chaltnetze chaltnetze...sind Funktionen, die von mehreren gleichen ingangsvariablen abhängen y = y (x.x n ) = (x.x n ) y m = y m (x.x n ) eispiel für chaltnetze: ddition und

Mehr

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt.

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. 4. Technische Realisierung Sie erinnern sich: Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. Hier: physikalische Größe = elektrische Spannung

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr