Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002"

Transkript

1 Andreas König Proessur Technische Inormatik Fakultät Inormatik Technische Universität Chemnitz Wintersemester 2/22 Andreas König Folie 6- Rekapitulierung zu Kapitel 5 Realisierungsragen ür digitale Logik Vorstellung von konkreten Realisierungstechnologien und Entwursstilen Erweiterung des abstrakten Kostenbegris: Turn-Around-Time Time-To-Market Entwurszeit und Fehleranälligkeit Fläche und Verzögerungs- bzw. Schaltzeit Fragen der Technologieanpassung und Schaltwerksumsetzung Konsequenz der Überdeckung mit Bibliothekszellen: Mehrstuige Implementierung Verschiedene alternative Umsetzungsmöglichkeiten durch: Komplexe Logikbausteine (Mux, Decoder, ROM) Anwenderprogrammierbare Arrays oder Felder Andreas König Folie 6-2

2 Vorlesungsgliederung:. Einührung 2. Kodierung und Arithmetik 3. Grundlagen der Booleschen Algebra 4. Entwur zweistuiger kombinatorischer Logik 5. Zieltechnologien und Technologieanpassung 6. kombinatorischer Schaltnetze 7. Entwur sequentieller Schaltwerke 8. Funktionsblöcke digitaler Rechner und Systeme 9. Entwur von Systemen der. Ausblick Andreas König Folie 6-3 Kapitelgliederung: 6. kombinatorischer Schaltnetze 6. Mehrstuige Logikimplementierung 6.2 Verzögerungszeiten im Schaltnetz 6.3 Hazards/Glitches 6.4 Ansätze zum hazardreien Entwur Andreas König Folie 6-4

3 Mehrstuige Logikimplementierung Bei der Überdeckung einer zweistuigen Gatterrealisierung mit Bibliothekszellen sind durch die Umsetzung bereits mehrstuige Gatternetze entstanden Alternativ zur zweistuigen Logikminimierung kann auch direkt der Entwur mehrstuiger Logik in Angri genommen werden Dies stellt jedoch eine deutlich größere Herausorderung dar Methodik und Sotwarewerkzeuge sind z.b. in [Eschermann 92] und [Katz 94] erläutert Hier soll nur eine qualitative Betrachtung im Hinblick au mögliche Vorteile und Probleme beim Entwur vorgenommen werden Betrachtet wird eine Beispielunktion (DMF): = x x4x6 xx5 x6 x2x4x6 x2x5x6 x3x4x6 x3x5x6 x7 Diese kann sukzessiv durch Faktorisierung umgestellt werden: = ( x x x x2 x3)( x4 x5) 6 7 Andreas König Folie 6-5 Mehrstuige Logikimplementierung Der explizite Entwur eines mehrstuigen Gatternetzes kann erhebliche Einsparungen bringen (s. auch Bsp. ASC2BCD!) Die Vergrößerung der Stuenzahl verschlechtert das Zeitverhalten x x 6 x x 5 6 x 6 x x 5 6 x 7 x 5 x 6 x 7 Gattereingänge: 25 vs. Gatterzahl: 7 vs. 4 Fan-Out: vs. Stuentiee: 2 vs. 3 Andreas König Folie 6-6

4 Mehrstuige Logikimplementierung Weiteres Beispiel mit c i einer Addiererstue c i- c i- c = i xi yi xici yici c i c c i- i = xi yi ci ( xi i c i y ) Gattereingänge: 9 vs. 8 Gatterzahl: 4 vs. 4 Fan-Out: vs. Stuentiee: 2 vs. 3 Andreas König Folie 6-7 Verzögerungszeiten im Schaltnetz Die technologische Betrachtung hat gezeigt, das ein reales Gatter ein Verzögerungsverhalten auweist Bei der rein kombinatorischen Betrachtung von Schaltwerken wurde bisher angenommen, dass ein Gatter und damit ein Schaltwerk jede Änderung der Eingangsbelegung unmittelbar in eine unktionsgerechte Änderung des Ausgangs umsetzt Durch das Verhalten realer Gatter ist diese Modellvorstellung nicht haltbar Die Verzögerungszeit eines Schaltwerks wird von seiner Stuentiee und der Verzögerungszeit der Stuen selbst bestimmt t x c i- i t c x t i i t c i t c i- Änderung von oder wird erst nach 3 t am Ausgang wirksam Andreas König Folie 6-8

5 Verzögerungszeiten im Schaltnetz Bei der Betrachtung wurde allen Gattern gleiches Verzögerungsverhalten zugeschrieben Reale Gattertypen unterscheiden sich in ihrer Verzögerungszeit Verknüpungstyp, Eingangszahl oder Herstellungstechnologie bestimmen Verzögerungszeit Herstellungsbedingte Parameterschwankungen wirken sich auch au die Verzögerungszeit der Gatterinstanzen aus Angabe als Max/Typ/Min-Werte: Kritischer Pad anhand von Max.-Werten (Worst-Case-Analyse) t A2 t O2 c i- t O2 c i- t A2 c i c i t i Änderung von oder wird erst nach t O2 + t O2 + t A2 am Ausgang wirksam Andreas König Folie 6-9 Verzögerungszeiten im Schaltnetz Es existiert eine größere Zahl von Verzögerungsmodellen, die sich beispielsweise in der Genauigkeit unterscheiden: Ideale Verzögerung: (s. Bild vorhergehende Folie) Träge Verzögerung: Impulse kürzer als die Verzögerungszeit werden absorbiert (A)symmetrische Verzögerung: /- bzw. /-Übergänge haben (unterschiedliche) gleiche Verzögerungszeiten... Zusätzlich zu Min/Typ/Max Angabe kann auch statistische Angabe mit Mittelwert und Streuung vorliegen (Bezug: Prozessdaten) Worst-Case-Betrachtung kann zu zu pessimistischen Aussagen ühren, da es statistisch unwahrscheinlich ist, dass au einem Pad alle Gatter max. Verzögerungszeit besitzen werden Fallabhängige Betrachtung; Typisch Nominalwerte Erkennbar ührt die gleichzeitige Änderung mehrerer Eingangsvariablen bzw. Literalen in verschiedenen Paden des Schaltwerks zu Läuen (Races) Andreas König Folie 6-

6 Hazards/Glitches Bedingt durch die Gatterlauzeiten in den Paden des Schaltwerks kann es an den Ausgängen eines Schaltwerks zu belegungsabhängigen, temporären Zustandsänderungen kommen Diese unerwünschten Änderungen der Ausgangswerte werden als Glitches bezeichnet Eine Schaltung, die ein solches Verhalten, also Glitches, auweist, hat einen sogenannten Hazard! Durch verzögerte Auswertung eines hazardbehateten Schaltwerks kann der Eekt neutralisiert werden (Taktreduktion) Bedient ein hazardbehatetes Schaltwerk einen asynchronen Eingang einer olgenden Stue, so können Fehler autreten Abhile: Vermeidung asynchroner Eingänge Kann mit keiner der obigen Maßnahmen Abhile geschaen werden, so muß ein hazardreies Schaltwerk entworen werden! Andreas König Folie 6- Hazards/Glitches Statische Hazards: Statischer -Hazard Statischer -Hazard Dynamische Hazards (z.b. mehrstuige Logik): Dynamischer -Hazard Dynamischer -Hazard Annahme ür hier betrachteten hazardreien Entwur: Nur ein Eingang ändert sich, während alle anderen stabil bleiben! Andreas König Folie 6-2

7 Ansätze zum hazardreien Entwur Zunächst soll an einem einachen Beispiel die Erkennung und Beseitigung von Hazards in zweitstuigen Netzwerken betrachtet werden Beispielunktion: ( x4, x3, x2, x ) = m i i {,3,5,7,8,9,2,3} x x x Andreas König Folie 6-3 Ansätze zum hazardreien Entwur Betrachtung des Übergangs - : Erkennbar kann bei diesem Übergang kein Glitch autreten x x x x Andreas König Folie 6-4

8 Ansätze zum hazardreien Entwur Betrachtung des Übergangs - : Erkennbar kann bei diesem Übergang ein Glitch autreten Statischer -Hazard! x x Verzögerung durch Inverter! x x x Andreas König Folie 6-5 Ansätze zum hazardreien Entwur Analyse des KV-Diagramms zeigt: Übergang ist Übergang zwischen zwei PI der DMF Eliminierung des Statischen -Hazards durch redundanten PI, der während beider Zustände des kritischen Übergangs aktiv ist: x x = x = x 4 x2 x4x 4 x2 x4x x2x Andreas König Folie 6-6

9 Ansätze zum hazardreien Entwur Vergleichbare Vorgehensweise zur Findung und Eliminierung Statischer - Hazards Betrachtung der Maxtermdarstellung: x x = ( x4 x2)( x4 x ) = ( x4 x2)( x4 x )( x2 x ) Andreas König Folie 6-7 Ansätze zum hazardreien Entwur Betrachtung des Übergangs - : Erkennbar kann bei diesem Übergang ein Glitch autreten Statischer -Hazard! x x Verzögerung durch Inverter! x x x Andreas König Folie 6-8

10 Ansätze zum hazardreien Entwur Umormung der Maxtermdarstellung: = ( x4 x2)( x4 x )( x2 x ) = ( x4x4 x2x4 x4x x2x )( x2 x) = ( x x x x x x x x x x x x x x x x x ) x = ( x x x x x x x x x x x ) x = ( x x x x x ) x Andreas König Folie 6-9 Ansätze zum hazardreien Entwur Stichpunkte zum hazardreien Entwur zweistuiger Schaltnetze:. Ausgehend von der DMF muss ür jeden Übergang zwischen zwei Elementen der Einsstellenmenge sichergestellt sein, dass Sie von einem gemeinsamen UND-Gatter überdeckt werden 2. Für die Übergänge zwischen Einsstellen innerhalb der PI der DMF ist dies erüllt, ür die Übergänge zwischen Einstellen aneinandergrenzender PI müssen redundante PI eingeügt werden! 3. Ausgehend von der KMF muss ür jeden Übergang zwischen zwei Elementen der Nullstellenmenge sichergestellt sein, dass Sie von einem gemeinsamen ODER-Gatter überdeckt werden (Punkt 2 gilt sinngemäß) 4. Ein Statischer -Hazard kann in einer zweistuigen UND/ODER- Schaltung nur autreten, wenn in einem UND-Gatter (Produktterm) eine Variable negiert und nicht negiert anliegt Andreas König Folie 6-2

11 Ansätze zum hazardreien Entwur Hazardbehatetes Gatternetzwerk in PSPICE: Andreas König Folie 6-2 Ansätze zum hazardreien Entwur Simulation des hazardbehateten Gatternetzwerks in PSPICE: Statischer -Hazard im Zeitdiagramm Andreas König Folie 6-22

12 Ansätze zum hazardreien Entwur Hazardreies, erweitertes Gatternetzwerks in PSPICE: Redundanter PI = x 4 x2 x4x x2x Andreas König Folie 6-23 Ansätze zum hazardreien Entwur Simulation des nun hazardreien Gatternetzwerks in PSPICE Statischer -Hazard eliminiert Andreas König Folie 6-24

13 Ansätze zum hazardreien Entwur Die Beachtung und Durchührung der Schritte zum hazardreien Entwur eliminiert ür zweistuige Logik statische und dynamische Hazards Der Ansatz kann au mehrstuige Logik erweitert werden [Katz 94] Die Beseitigung statischer Hazards schliesst dynamische Hazards ür mehrstuige Logik nicht aus (/- bzw. /-Übergänge) Unterschiedliche Verzögerungszeiten verschiedener Pade können in mehrstuiger Logik zu dynamischen Hazards ühren Prinzipiell Erweiterung der Herangehensweise zur Beseitigung möglich Empehlung: Falls unbedingt hazardreies Schaltwerk erorderlich, zweistuiger Entwur Weiterhin: Gleichzeitige Änderung mehrerer Eingangsvariabler kann ebenalls zu Glitches ühren (s. bisherige PSPICE-Simulationen) Sicherstellung entsprechender Zeitbedingungen oder Einvariablenübergänge Andreas König Folie 6-25

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002 Andreas König Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz Wintersemester 2001/2002 Andreas König Folie 10-1 Vorlesungsgliederung: 1. Einführung 2. Kodierung und

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

4.7 Mehr über Laufzeiteffekte in Schaltungen

4.7 Mehr über Laufzeiteffekte in Schaltungen 282 Hazards können besonders die Funktion von Schaltwerken stören. Sie werden dann Races (Rennen, Wettläufe) genannt. Dabei werden die falschen Werte der Ausgangsvariablen eines Schaltnetzes von Speichergliedern

Mehr

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d 6.3 Beispiel: Siebensegmentanzeige Typische Anzeige für Ziffern a f g b 0 1 2 3 4 5 6 7 8 9 e d c Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 203 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 2. Mai 203 Boolesche Funktionen

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Ab 5.11.: Vorlesung im HSG H.001

Ab 5.11.: Vorlesung im HSG H.001 Rechnerstrukturen WS 202/3 Ab 5..: Vorlesung im HSG H.00 Boolesche Funktionen und Schaltnetze KV-Diagramme Beschreibung und Beispiel Minimalpolynome Algorithmus von Quine und McCluskey Einleitung, Berechnung

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

Electronic Design Automation (EDA) Technology Mapping

Electronic Design Automation (EDA) Technology Mapping Electronic Design Automation (EDA) Technology Mapping Überblick digitale Synthese Technology Mapping Abbildung durch die Abdeckung eines Baumes Partitionierung des DAG Dekomposition und Abdeckung Beispiel

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 8 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.   WOCHE 8 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 8 AM 11.12.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Kapitel 2 - Codierer und Multiplexer

Kapitel 2 - Codierer und Multiplexer Kapitel 2 - Codierer und Multiplexer Kombinatorische Schaltungen Definition nach DIN 44300/93: Ein Schaltnetz oder kombinatorischer Funktionsblock ist eine Funktionseinheit zum Verarbeiten von Schaltvariablen,

Mehr

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002 Andreas König Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz Wintersemester 200/2002 Andreas König Folie 5- Rekapitulierung zu Kapitel 4 Entwurf zweistufiger Logik

Mehr

Boolesche (Schalt-) Algebra (8)

Boolesche (Schalt-) Algebra (8) Boolesche (Schalt-) Algebra (8) Karnaugh-Diagramm ist eine graphische Technik zur Darstellung und Vereinfachung von Booleschen Ausdrücken ist eine andere, zweidimensionale Darstellung von Wahrheitstabellen

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Boolesche Funktionen, Schaltnetze und Schaltwerke Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik 1 Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 11. November 2013 Besprechung: Übungsstunden in der Woche 47 (18.11. - 22.11.2013) 1) Normal- und Minimalformen Communication

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Boolesche Funktionen und Schaltnetze Schaltnetze Rechner-Arithmetik Addition Bessere Schaltnetze zur Addition Carry-Look-Ahead-Addierer Multiplikation Wallace-Tree Hinweis:

Mehr

Verifikation. Simulation und formale Methoden

Verifikation. Simulation und formale Methoden Verifikation Simulation und formale Methoden Motivation Thema: formale (=exakte) Hardwareverifikation Ziel der formalen Hardwareverifikation automatische, zuverlässige und frühzeitige Erkennung von Entwurfsfehlern

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Grundlagen der Theoretischen Informatik

Grundlagen der Theoretischen Informatik FH Wedel Pro. Dr. Sebastian Ianoski GTI21 Folie 1 Grundlagen der Theoretischen Inormatik Sebastian Ianoski FH Wedel Kap. 2: Logik, Teil 2.1: Aussagenlogik FH Wedel Pro. Dr. Sebastian Ianoski GTI21 Folie

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Schaltungsentwurf und Minimierungsverfahren Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für Titelbild

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität 5. Sequentielle Schaltungen Automaten 5. Die Grundform endlicher Automaten 5.2 Automaten mit Ausgabe 5.3 Darstellungsformen endlicher Automaten 5.4 Beispiele endlicher Automaten 5.5 Eigenschaften endlicher

Mehr

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I Musterlösungen zu den Aufgaben der Nachklausur zum Kurs 7 Grundlagen der Technischen Informatik und Kurs 77 Technische Informatik I im Sommersemester 2 vom 6.9.2 Zu Aufgabe : Schaltnetz analysieren a)

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 Vorlesung mit begleitendem Praktikum Klaus Kasper Achtung! Vorlesung am 3.4.27 fällt aus! Nächste Vorlesung am 2.4.27! Organisation des Praktikums Betreuung: Michael Müller, Klaus

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Rechnerstrukturen WS 2013/14

Rechnerstrukturen WS 2013/14 Rechnerstrukturen WS 2013/14 1 Boolesche Funktionen und Schaltnetze 2 Hazards (Wiederholung/Abschluss) 3 Programmierbare Bausteine Einleitung Einsatz von PLAs 4 Sequenzielle Schaltungen Einleitung Folien

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil Vorlesung 4 SWS WS 5/6 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls-www.cs.tu-.de Übersicht. Organisatorisches 2.

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8 Inhaltsverzeichnis Vorwort Teil 1: Grundlagen 1 1 Einleitung 3 1.1 Grundbegriffe 3 1.2 Einheiten 5 1.3 Geschichte 6 1.4 Arten von Computern 8 2 Allgemeiner Aufbau eines Computersystems 15 2.1 Blockdiagramm

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 WS 2012/13 Boolesche Funktionen und Schaltnetze Hazards (Wiederholung/Abschluss) Programmierbare Bausteine Einleitung Einsatz von PLAs Sequenzielle Schaltungen Einleitung Hinweis: Folien teilweise a. d.

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Praktikum. Modellbildung und Simulation. Stichworte: Modellbildung Analoge Simulation Digitale Simulation

Praktikum. Modellbildung und Simulation. Stichworte: Modellbildung Analoge Simulation Digitale Simulation Praktikum Stichworte: Modellbildung Analoge Simulation Digitale Simulation Aufgabenstellung und Lösungsidee - Kennenlernen verschiedener Methoden zur Modellbildung eines mechanisches Schwingers - Abbildung

Mehr

6. Tutorium Digitaltechnik und Entwurfsverfahren

6. Tutorium Digitaltechnik und Entwurfsverfahren 6. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 16. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Literatur 2. Minimierung

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Übungsblatt 5 (Block B - 1) (17 Punkte)

Übungsblatt 5 (Block B - 1) (17 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de olaf.neugebauer [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 27 Übungsblatt 5 (Block B - ) (7 Punkte)

Mehr

Grundlagen der Programmierung

Grundlagen der Programmierung GdP2 Slide 1 Grundlagen der Programmierung Vorlesung 2 Sebastian Ianoski FH Wedel GdP2 Slide 2 Beispiel ür eine Programmveriikation Gegeben sei olgender Algorithmus: i (x>0) ((y+x) 0) then z := x y else

Mehr

Fehlerdiagnose von transienten Fehlern in quantisierten Systemen

Fehlerdiagnose von transienten Fehlern in quantisierten Systemen Fehlerdiagnose von transienten Fehlern in quantisierten Systemen Hauptseminar Andreas Kost Gliederung Einührung Qualitative Modellierung Diagnose von quantisierten Systemen Zusammenassung & Ausblick 1.

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fabian Pilz Dresden,

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

PSpice 1. Versuch 9 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik

PSpice 1. Versuch 9 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik Fakultät für Elektrotechnik und Informationstechnik Institut für Mikro- und Nanoelektronik Fachgebiet Elektronische Schaltungen und Systeme PSpice 1 Versuch 9 im Informationselektronischen Praktikum Studiengang

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Inhaltsverzeichnis. 1 Einleitung 1

Inhaltsverzeichnis. 1 Einleitung 1 vn 1 Einleitung 1 2 Codierung und Zahlensysteme... 3 2.1 Codes... 3 2.2 Dualcode....4 2.3 Festkonnna-Arithmetik im Dualsystem... 5 2.3.1 Ganzzahlige Addition im Dualsystem... 5 2.3.2 Addition von Festkommazahlen...

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 6 am 0.2.200 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Dirk W. Hoffmann Grundlagen der Technischen Informatik 3., neu bearbeitete Auflage Mit 356 Bildern, 57 Tabellen und 95 Aufgaben HANSER Inhaltsverzeichnis 1 Einführung 11 1.1 Was ist technische Informatik?

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Teil 1: Logik 1e: Zustandsautomaten

Teil 1: Logik 1e: Zustandsautomaten Synchroner Zähler als sautomat Teil 1: 1e: sautomaten Betrachte Zählerstand als Übergänge zwischen 0,1,2,3 ohne externe Randbedingungen 0 3 1 2 sautomat (1) sautomat (2) sspeicher sspeicher Wenn 2, dann

Mehr

Teil 1: Logik 1e: Zustandsautomaten

Teil 1: Logik 1e: Zustandsautomaten Teil 1: Logik 1e: Zustandsautomaten Synchroner Zähler als Zustandsautomat Betrachte Zählerstand als Zustand Übergänge zwischen 0,1,2,3 ohne externe Randbedingungen 0 3 1 2 Zustandsautomat (1) Zustandsspeicher

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Kapitel 3 - PLA und Flip-Flops

Kapitel 3 - PLA und Flip-Flops Kapitel 3 - PLA und Flip-Flops Programmable Logic Array (PLA) Die Idee eines PLAs ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird

Mehr

Formale Grundlagen von Schaltnetzen L6, L7, L8 1. L 6 : Gesetze der Booleschen Algebra

Formale Grundlagen von Schaltnetzen L6, L7, L8 1. L 6 : Gesetze der Booleschen Algebra Formale Grundlagen von Schaltnetzen L6, L7, L8 1 L 6 : Gesetze der Booleschen Algebra Formale Grundlagen von Schaltnetzen L6, L7, L8 2 L 6-2: Einführung und Motivation Seien term 1 und term 2 beliebige

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

1 Einfache diskrete, digitale Verknüpfungen

1 Einfache diskrete, digitale Verknüpfungen 1 Einfache diskrete, digitale Verknüpfungen Mit den drei Grund Gattern UND, ODER und Nicht lassen sich alle anderen Gattertypen realisieren! Q = e 1 e 1.1 AND, UND, Konjunktion 2 Die Konjunktion (lateinisch

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra Teil I Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes............. 3 1.1 Dezimalzahlensystem.............................. 3 1.2 Bündelung..................................... 4 1.3 Das

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

5. Vorlesung: Normalformen

5. Vorlesung: Normalformen 5. Vorlesung: Normalformen Wiederholung Vollständige Systeme Minterme Maxterme Disjunktive Normalform (DNF) Konjunktive Normalform (KNF) 1 XOR (Antivalenz) X X X X X X ( X X ) ( X X ) 1 2 1 2 1 2 1 2 1

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Rechnerorganisation. H.-D. Wuttke `

Rechnerorganisation. H.-D. Wuttke ` Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Digitalelektronik Einführung Prof. Metzler

Digitalelektronik Einführung Prof. Metzler Digitalelektronik Einführung 1 Prof. Uwe Metzler Hochschule für Technik und Wirtschaft FB 1, Studiengänge IKT (BA) & (MA) Wilhelminenhofstr. 75A 12459 Berlin Raum C 307 Tel.: 5019-3211 Email: uwe.metzler@htw-berlin.de

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr