C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d

Größe: px
Ab Seite anzeigen:

Download "C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d"

Transkript

1 6.3 Beispiel: Siebensegmentanzeige Typische Anzeige für Ziffern a f g b e d c Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d C.40

2 6.3 Beispiel: Siebensegmentanzeige (2) 6.3 Beispiel: Siebensegmentanzeige (3) Aufstellung der Wahrheitstafel zur Ansteuerung des Segmentes d 0 f(,,, 0 ) d d d C.41 Aufstellung der KDNF nur 1-Werte betrachten don t care-werte werden ignoriert f = ( 0 ) + ( 0 ) + ( 0 ) + ( 0 ) + ( 0 ) + ( 0 ) + ( 0 ) KDNF sicherlich nicht minimal ungeeignet zur Übertragung in eine kostengünstige Schaltung C.42 7 Äquivalenz von Schaltfunktionen Wegen der Eindeutigkeit der Darstellung als KDNF bzw. KKNF gilt: zwei Schaltfunktionen sind äquivalent, wenn sie sich auf die selbe KDNF oder KKNF zurückfürhen lassen bis auf Vertauschungen bzgl. des Kommutativitätsaioms Umformungen nach den Gesetzen der Boolschen Algebra Erhaltung der Schaltfunktion Nutzen z.b. Minimisieren von Schaltfunktionen 8 Minimisierung Suche nach einer minimalen Darstellung einer Schaltfunktion Größenbegriff notwendig Menge der notwendigen Gatter Anzahl der Variablen Anzahl der notwendigen ICs Anzahl der notwendigen Kontakte Größenbegriff von den Kosten bestimmt Größenbegriff hier Anzahl der booleschen Operationen C.43 C.44

3 8.1 Grundlage der Minimisierung Gesetze der Booleschen Algebra insbesondere A B+ A B = A 8.1 Grundlage der Minimisierung (2) Beispiel: Oderfunktion KDNF: Umwandlung: f(, ) = + + Beweis A B+ A B = A ( B+ B) A ( B+ B) = A 1 A 1 = A wg. (Kommutativität u.) Distributivität wg. komplementärem Element wg. neutralem Element f(, ) = + + f(, ) = + ( + ) f(, ) = + 1 f(, ) = + f(, ) = + + f(, ) f(, ) = = ( + ) + + Distributivität, neutrales Element Absorption C.45 C Vorgehensweise Manuelles Minimisieren Umformen (z.b. der KDNF) nach den Regeln der Booleschen Algebra Algorithmisches Verfahren Verfahren nach Quine/McCluskey kann durch ein Programm angewandt werden geeignet für Schaltfunktionen mit vielen Variablen Graphische Verfahren Händlersche Kreisgraph Karnaugh-Veitch Diagramme geeignet für Schaltfunktionen mit wenigen Variablen 8.3 Karnaugh-Veitch-Diagramme Ausgangspunkt KDNF (oder KKNF) Rechteckschema je ein Feld für jeden möglichen Minterm (Materm) Anordnung der Felder, so dass benachbarte Felder bzw. Minterme zusammenfassbar Diagramm für zweistellige Schaltfunktion Funktion: f(, ) Diagramm: C.47 C.48

4 8.3 Karnaugh-Veitch-Diagramme (2) 8.4 Beispiel: Oderfunktion Diagrammaufbau jede Variable halbiert das Diagramm in zwei zusammenhängende Teile erster Teil für zweiter Teil für Variable i i i Variable Aufstellen der KDNF f(, ) = + + Eintragung in das Diagramm Eintragung einer 1, wenn Minterm benötigt wird Eintragung einer 0, wenn Minterm nicht benötigt wird benachbarte Felder unterscheiden sich nur um das Vorzeichen einer Variablen in den beiden Mintermen Eintragung auch direkt aus Wahrheitstafel möglich C.49 C Beispiel: Oderfunktion (2) 8.4 Beispiel: Oderfunktion (3) Markierung möglichst weniger und möglichst großer zusammenhängender Bereiche mit 1en nur zusammenhängende rechteckige Bereiche mit 2 n Elementen erlaubt alle 1 Felder müssen schließlich markiert sein 0 1 Alternative Markierung Markierung nicht so groß wie möglich, aber alle 1en markiert markierten Bereiche ergeben Produktterme, die summiert werden: markierten Bereiche ergeben Produktterme, die summiert werden: f(, ) = + f(, ) = + Funktion korrekt, jedoch nicht minimal Produktterme ergeben sich aus den Variablen die lediglich negiert oder ohne Negation vorkommen C.51 C.52

5 8.5 Beispiel: Eingabemelder 8.6 Beispiel: Eingabemelder (2) Dreistellige Schaltfunktionen Karnaugh-Veitch-Diagramm Halbierungen des Diagramms Variable Variable Wichtig: die Bereiche für gehören zusammen Vorstellung: Diagramm ist an den Rändern zusammengeklebt C.53 C Beispiel: Eingabemelder (3) 8.7 Beispiel: Eingabemelder (4) Halbierungen des Diagramms Variable Belegen des Diagramms aus der Wahrheitstafel Funktion aus Folie C.38 f 2 Eintragung der don t care -Werte 0 1 d 0 1 d d d don t care -Werte können mitmarkiert werden oder nicht Ziel: möglichst große Bereiche markieren markierte don t care -Werte werden später zu 1, andere zu 0 C.55 C.56

6 8.7 Beispiel: Eingabemelder (5) Markierungen für f 2 zwei Bereiche 8.8 Beispiel: unbestimmte Funktion Gegebene Belegung aus der Wahrheitstafel Gesucht ist die beste Markierung 0 1 d d 1 1 d d d 1 1 d d markierten Bereiche ergeben Produktterme, die summiert werden: f 2 (,, ) = + markierten Bereiche ergeben Produktterme, die summiert werden: f(,, ) = + C.57 C Beispiel: weitere Funktion Gegebene weitere Belegung aus der Wahrheitstafel Gesucht ist die beste Markierung Minimale DNF gefunden f(,, ) = Vierstellige Funktionen Karnaugh-Veitch-Diagramm für vierstellige Schaltfunktion C.59 C.60

7 8.10 Vierstellige Funktionen (2) Halbierungen für vierstellige Schaltfunktion 8.10 Vierstellige Funktionen (3) Halbierungen für vierstellige Schaltfunktion 3 C.61 4 C Vierstellige Funktionen (4) Markierungen insbesonder folgende Markierung möglich 8.11 Beispiel: 22-Multiplizierer Binärer Multiplizierer für 2 mal 2 Eingänge Binärdarstellung von Zahlen von 0 bis 3 bzw. 0 bis 15 X X X Vorstellung: Diagramm ist an den Seiten jeweils zusammengeklebt zwei Eingänge a 1 und a 0 zwei Eingänge b 1 und b 0 vier Ausgänge y 3, y 2, y 1 und y 0 X X X X X X X X X C.63 C.64

8 8.12 Beispiel: 22-Multiplizierer (2) 8.12 Beispiel: 22-Multiplizierer (3) b a 1 = a 0 = = b 0 = 2 y 3 y 2 y 1 y = 0 0 = 1 0 = = = = = = = = = = = = = = C.65 Karnaugh-Veitch-Diagramm für y 0 : Markierte Bereiche: y 0 = C Beispiel: 22-Multiplizierer (4) 8.12 Beispiel: 22-Multiplizierer (5) Karnaugh-Veitch-Diagramm für y 1 : Karnaugh-Veitch-Diagramm für y 2 : Markierte Bereiche: y 1 = Markierte Bereiche: y 2 = + C.67 C.68

9 8.12 Beispiel: 22-Multiplizierer (6) Karnaugh-Veitch-Diagramm für y 3 : Zusammenfassung Markierungsregeln rechteckige Bereiche mit 2 n Elementen markieren Achtung: Diagramm gilt als oben und unten zusammengenäht alle 1-Werte müssen markiert werden möglichst große Bereiche markieren möglichst wenig Bereiche markieren Markierte Bereiche: y 3 = C.69 C.70 9 Schaltnetze Mehrere Schaltfunktionen (Combinational Networks) sind von gleichen Eingangsvariablen abhängig f 1 (,,, n ) f 2 (,,, n ) f m (,,, n ) entspricht Schaltung mit mehreren Ausgängen n Kombinatorische Logik f 1 () f 2 () f m () 9 Schaltnetze (2) Gerichteter, azyklischer Graph Gatter, Ein- und Ausgänge sind Knoten Verbindungsleitungen sind Kanten (gerichtet von Eingang zu Ausgang) Aufbau von Schaltnetzen einstufige (nur eine Gatterebene) zweistufige (zwei Gatterebenen) mehrstufige Folgerung aus Darstellung durch kanonische Normalformen Jedes Schaltnetz ist zweistufig realisierbar, wenn alle Signale einfach und negiert vorliegen und Gatter mit ausreichender Anzahl von Eingängen vorliegen. C.71 C.72

10 9 Schaltnetze (3) Begründung Bezug zur KDNF (oder KKNF) alle Variablen werden einfach oder negiert benutzt zunächst Minterme: ein Und-Gatter pro Minterm (erste Stufe) Summe der Minterme: ein Oder-Gatter für alle Minterme f 2 f 1 9 Schaltnetze (4) Anzahl der notwendigen Gatter bei n Eingängen ma. 2 n Und-Gatter pro Schaltfunktion mit bis zu n Eingängen (KDNF) ein Oder-Gatter mit bis zu 2 n Eingängen Minimisierung reduziert Gatteranzahl und Eingangsanzahl pro Gatter Minimisierung parallel für mehrere Schaltfunktionen des Schaltnetzes Verwendung der selben Gatter z.b. Karnaugh-Veitch-Diagramme für mehrere Schaltfunktionen des Netzes Beispiel: Eingabemelder C.73 C Typische Schaltnetze aus-k-Multipleer Steuerleitungen weisen viele Eingabeleitungen einem Ausgang zu n Steuerleitungen s 0, s 1,, s n 1 (Eingänge) k = 2 n Eingänge 0,,, k 1 ein Ausgang y es gilt: y = i für ( s n 1,, s 1, s 0 ) 2 = i (Zahlendarstellung im Binärsystem) aus-k-Multipleer (2) Realisierung für n = 2 als DNF y = s 1 s s 1 s 0 + s 1 s 0 + s 1 s 0 0 y 0 k-1 s 0 s 1 s n-1 y Multipleer (MUX) s 0 s 1 Einsatz Anzeige und Auswahl verschiedener Datenquellen z.b. Auslesen von Daten aus Speicherzellen C.75 C.76

11 zu-k-Demultipleer Steuerleitungen weisen eine Eingabeleitung vielen Ausgängen zu n Steuerleitungen s 0, s 1,, s n 1 (Eingänge) ein Eingang k = 2 n Ausgänge y 0, y 1,, y k 1 es gilt: y i = für ( s n 1,, s 1, s 0 ) 2 = i (Zahlendarstellung im Binärsystem) s 0 s 1 s n-1 y 0 y 1 y k-1 Demultipleer (DEMUX) C zu-k-Demultipleer (2) Realisierung für n = 2 als DNF Einsatz y 0 = s 1 s 0, y 1 = s 1 s 0, y 2 = s 1 s 0, y 3 = s 1 s 0 s 0 s 1 Zuordnung und Auswahl verschiedener Datensenken z.b. Speichern von Daten in Speicherzellen y 0 y 1 y 2 y 3 C k-zu-n-kodierer 10.3 k-zu-n-kodierer (2) Nummer eines Eingangs wird ausgegeben k = 2 n Eingänge 0,,, k 1 immer genau eine Eingangsleitung auf 1 i mit i = 1 und j i j = 0 n Ausgänge y 0, y 1,, y n 1 es gilt: ( y n 1,, y 1, y 0 ) 2 = i (Zahlendarstellung im Binärsystem) Realisierung für n = 2, k = 4 als DNF y 0 = +, y 1 = + 0 y 0 0 k-1 Encoder y 0 y 1 y n-1 Kodierer Einsatz y 1 z.b. Signalisierung eines Eingang C.79 C.80

12 10.4 n-zu-k-dekodierer Eingänge selektieren genau einen von vielen Ausgängen n Eingänge 0,,, n 1 k = 2 n Ausgänge y 0, y 1,, y k 1 es gilt: y i = 1 und j i y j i = 0 mit ( n 1,,, 0 ) 2 = i (Zahlendarstellung im Binärsystem) 10.4 n-zu-k-dekodierer (2) Realisierung für n = 2, k = 4 als DNF y 0 = 0, y 1 = 0, y 2 = 0, y 3 = 0 y 0 0 n-1 Decoder y 0 y 1 y k-1 Dekodierer y 1 y 2 0 y 3 Einsatz z.b. Dekodierung eines Maschinenbefehls C.81 C.82

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 2 Kombinatorische

Mehr

B. Schaltalgebra, Kombinatorische Logik

B. Schaltalgebra, Kombinatorische Logik B. Schaltalgebra, Kombinatorische Logik B.1. Einordnung Elektrische Grundgesetze & Signale. Zustandslose logische Funktionen. Entwurf & Optimierung. Signalausbreitung. Höhere Informatik Systemprogrammierung:

Mehr

B. Schaltalgebra, Kombinatorische Logik

B. Schaltalgebra, Kombinatorische Logik B. Schaltalgebra, Kombinatorische Logik B.1. Einordnung Elektrische Grundgesetze & Signale. Zustandslose logische Funktionen. Entwurf & Optimierung. Signalausbreitung. Höhere Informatik Systemprogrammierung:

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

1 Analogtechnik und Digitaltechnik. C Schaltalgebra und kombinatorische Logik. 2 Digitale elektrische Schaltungen

1 Analogtechnik und Digitaltechnik. C Schaltalgebra und kombinatorische Logik. 2 Digitale elektrische Schaltungen Analogtechnik und Digitaltechnik C Schaltalgebra und kombinatorische Logik bei analoger Technik kontinuierliche Signale. Analog- und Digitaltechnik 2. Digitale elektrische Schaltungen 3. Logische Schaltungen

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Schaltalgebra und kombinatorische Logik

Schaltalgebra und kombinatorische Logik Schaltalgebra und kombinatorische Logik. Digitale elektrische Schaltungen 2. Beschreibung durch logische Ausdrücke 3. Boolesche Algebra 4. Schaltfunktionen 5. Synthese von Schaltungen 6. Schaltnetze *Die

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen Bisher Klassische Aussagenlogik (Syntax, Semantik) semantische Äquivalenz von Formeln äquivalentes Umformen von Formeln (syntaktisch) Normalformen: NNF, DNF, CNF, kanonische DNF und CNF Ablesen kanonischer

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

Schaltalgebra und kombinatorische Logik

Schaltalgebra und kombinatorische Logik Schaltalgebra und kombinatorische Logik. Digitale elektrische Schaltungen 2. Beschreibung durch logische Ausdrücke 3. Boolesche Algebra 4. Schaltfunktionen 5. Synthese von Schaltungen 6. Schaltnetze Wintersemester

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik Übung zur Vorlesung Technische Informatik I, SS03 Ergänzung Übungsblatt 1 Boole sche Algebra, Kombinatorische Logik Guenkova, Schmied, Bindhammer, Sauer {guenkova@vs., schmied@vs., bindhammer@vs., dietmar.sauer@}

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil Vorlesung 4 SWS WS 5/6 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls-www.cs.tu-.de Übersicht. Organisatorisches 2.

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

Was bisher geschah: klassische Aussagenlogik

Was bisher geschah: klassische Aussagenlogik Was bisher geschah: klassische Aussagenlogik klassische Aussagenlogik: Syntax, Semantik Äquivalenz zwischen Formeln ϕ ψ gdw. Mod(ϕ) = Mod(ψ) wichtige Äquivalenzen, z.b. Doppelnegation-Eliminierung, DeMorgan-Gesetze,

Mehr

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Kombinatorische Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Überblick Analog- und Digitaltechnik Boolesche Algebra Schaltfunktionen Gatter Normalformen

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Minimierung von logischen Schaltungen

Minimierung von logischen Schaltungen Minimierung von logischen Schaltungen WAS SIND LOGISCHE SCHALTUNGEN Logische Verknüpfungszeichen: & = Logisches Und-Verknüpfung (Konjunktion). V = Logische Oder-Verknüpfung (Disjunktion). - = Nicht (Negation).

Mehr

Logische Äquivalenz. Definition Beispiel 2.23

Logische Äquivalenz. Definition Beispiel 2.23 Logische Äquivalenz Definition 2.22 Zwei aussagenlogische Formeln α, β A heißen logisch äquivalent, falls für jede Belegung I von α und β gilt: Schreibweise: α β. Beispiel 2.23 Aus Folgerung 2.6 ergibt

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 3 AM 18.11.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 21. Oktober 2013 1/33 1 Boolesche

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 3 am 19.11.2010 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der

Mehr

Technische Informatik I

Technische Informatik I Vorlesung A Organisatorisches Sommersemester 22 [S 21] 22, Franz. Hauck, Verteilte Systeme, Univ. Ulm [22s-TI1-A-Org.fm, 22-9-11 9.3] 1 A 22, Franz. Hauck, Verteilte Systeme, Univ. Ulm [22s-TI1-A-Org.fm,

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Schaltungsentwurf und Minimierungsverfahren Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für Titelbild

Mehr

Technische Informatik I 4. Vorlesung. 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen

Technische Informatik I 4. Vorlesung. 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen Technische Informatik I 4. Vorlesung 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen...... H.-D. Wuttke 09 Karnaugh-Veith Veith-Diagramme, 3. Struktur digitaler Schaltungen: Strukturdefinition,

Mehr

6. Minimierung Boolescher Polynome

6. Minimierung Boolescher Polynome H.J. Oberle Boolesche Algebra WiSe 2006/07 6. Minimierung Boolescher Polynome An Beispiel (5.11) c) erkennt man, dass die DNF eines Booleschen Polynoms i. Allg. ungünstig in Bezug auf die Anzahl der Auftretenden

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Minimierung mittels KV-Diagramm (Karnaugh-Plan)

Minimierung mittels KV-Diagramm (Karnaugh-Plan) MINIMIERUNG MITTELS KV-DIAGRAMM (KARNAUGH-PLAN) 16. 11. 2015 1 Minimierung mittels KV-Diagramm (Karnaugh-Plan) Grundlagen der Schaltungsminimierung Die Schaltfunktion ist als disjunktive oder konjunktive

Mehr

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur Universität Koblenz-Landau Übungen zur Vorlesung Grundlagen der Rechnerarchitektur - Sommersemester 2018 - Übungsblatt 2 Abgabe bis Montag, 28. Mai 2018, 23:59 Uhr als pdf via SVN Punkte Kürzel A1 (10)

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik

1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik 1. Grundlagen der Informatik Boolesche Algebra / Aussagenlogik Inhalt Grundlagen digitaler Systeme Boolesche Algebra / Aussagenlogik Organisation und Architektur von Rechnern Algorithmen, Darstellung von

Mehr

Teil II. Schaltfunktionen

Teil II. Schaltfunktionen Teil II Schaltfunktionen 1 Teil II.1 Zahlendarstellung 2 b-adische Systeme Sei b IN mit b > 1 und E b = {0, 1,..., b 1} (Alphabet). Dann ist jede Fixpunktzahl z (mit n Vorkomma und k Nachkommastellen)

Mehr

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik Physikalisches Praktikum für Vorgerückte an der ETH Zürich vorgelegt von Mattia Rigotti mrigotti@student.ethz.ch 14.02.2003 Digitale Elektronik Versuchsprotokoll 1 Inhaltverzeichnis 1. Zusammenfassung...

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 16. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Literatur 2. Minimierung

Mehr

Technische Informatik

Technische Informatik Vorlesung WS 25/6 Klaus Merle, ZDV, Universität Mainz [25ws-TI-A-Org.fm, 25-11-2 13.12] A Organisatorisches Klaus Merle, ZDV, Universität Mainz [25ws-TI-A-Org.fm, 25-11-2 13.12] A 1 1 Dozent Prof. Dr.

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

f ist sowohl injektiv als auch surjektiv.

f ist sowohl injektiv als auch surjektiv. Bemerkungen: Wir erinnern uns an folgende Definitionen: Eine Funktion f : U V heißt injektiv, wenn gilt: ( x, y U)[x y f(x) f(y)] Eine Funktion f : U V heißt surjektiv, wenn gilt: ( y V x U)[y = f(x)]

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

5. Vorlesung: Normalformen

5. Vorlesung: Normalformen 5. Vorlesung: Normalformen Wiederholung Vollständige Systeme Minterme Maxterme Disjunktive Normalform (DNF) Konjunktive Normalform (KNF) 1 XOR (Antivalenz) X X X X X X ( X X ) ( X X ) 1 2 1 2 1 2 1 2 1

Mehr

Boolesche Funktionen und Schaltkreise

Boolesche Funktionen und Schaltkreise Boolesche Funktionen und Schaltkreise Die Oder-Funktion (Disjunktion) und die Und-Funktion (Konjunktion), x y 0 0 0 0 1 1 1 0 1 1 1 1 x y 0 0 0 0 1 0 1 0 0 1 1 1 1 (Implikationsfunktion), ( umgekehrte

Mehr

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops 6.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus Mögliche eines dreistelligen Binärzählers 000 111 001 110 010 Übergänge pro Takt unbedingte Übergänge 101 011 6.3

Mehr

2.2 Register-Transfer-Synthese

2.2 Register-Transfer-Synthese 2.2 Register-Transfer-Synthese Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer- Synthese Makrozellgeneratoren Beispiel Addierer Beispiel Speicher Synthese endlicher Automaten Zustandskodierung

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1 Aussagenlogik Formale Methoden der Informatik WiSe 22/23 teil 6, folie Teil VI: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning, Fakultät

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 202/3 Boolesche Funktionen und Schaltnetze Repräsentationen boolescher Funktionen (Wiederholung) Normalformen boolescher Funktionen (Wiederholung) Repräsentation boolescher Funktionen

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 8 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.   WOCHE 8 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 8 AM 11.12.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 6 am 0.2.200 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Repräsentation von Daten Repräsentation von Texten (Wiederholung) Repräsentation ganzer Zahlen (Wiederholung) Repräsentation rationaler Zahlen (Wiederholung) Repräsentation

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Technische Informatik - Eine Einführung

Technische Informatik - Eine Einführung Martin-Luther-Universität Halle-Wittenberg Fachbereich Mathematik und Informatik Lehrstuhl für Technische Informatik Prof. P. Molitor Technische Informatik - Eine Einführung Boolesche Funktionen - Grundlagen

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

6. Tutorium Digitaltechnik und Entwurfsverfahren

6. Tutorium Digitaltechnik und Entwurfsverfahren 6. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Electronic Design Automation (EDA) Register-Transfer-Synthese

Electronic Design Automation (EDA) Register-Transfer-Synthese Electronic Design Automation (EDA) Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer-Synthese Makrozellgenerator Beispiel Addierer (1)... (2)... (3)... (4) Beispiel Speicher Synthese

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis

Inhaltsverzeichnis. Inhaltsverzeichnis Inhaltsverzeichnis Hinweis: Inhalte, die weiterführend herausforderndere und schwierigere Themen aufgreifen, sind vorrangig für bereits erfahrene Leser bestimmt und können bei einer ersten Befassung durchweg

Mehr

Synthese und Analyse Digitaler Schaltungen

Synthese und Analyse Digitaler Schaltungen Synthese und Analyse Digitaler Schaltungen von Prof. Dr.-Ing. habil. Gerd Scarbata Technische Universität Ilmenau 2., überarbeitete Auflage Oldenbourg Verlag München Wien V Inhaltsverzeichnis Seite Boolesche

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Grundlagen der Technischen Informatik. 7. Übung

Grundlagen der Technischen Informatik. 7. Übung Grundlagen der Technischen Informatik 7. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 7. Übungsblatt Themen Aufgabe : Aufgabe : Aufgabe : Aufgabe : KMF, Nelson/Petrick-Verfahren Quine-McCluskey-Verfahren

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Boolesche Funktionen und Schaltnetze Schaltnetze Rechner-Arithmetik Addition Bessere Schaltnetze zur Addition Carry-Look-Ahead-Addierer Multiplikation Wallace-Tree Hinweis:

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

9. Kombinatorische Schaltungen

9. Kombinatorische Schaltungen 9. Kombinatorische Schaltungen Christoph Mahnke 15.06.2006 1 NAND-Gatter sowie der Eingangsstrom I E = f(u E ) Abbildung 1: Schaltsymbol NAND-Gatter Ein NAND-Gatter entspricht der logischen Verknüpfung

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008 Informatik II Rainer Schrader Zentrum für Angewandte Informatik Köln 3. November 008 1 / 47 / 47 jede Boolesche Funktion lässt mit,, realisieren wir wollen wir uns jetzt in Richtung Elektrotechnik und

Mehr

Computational Logic Algorithmische Logik Boolesche Algebra und Resolution

Computational Logic Algorithmische Logik Boolesche Algebra und Resolution Computational Logic Algorithmische Logik Boolesche Algebra und Resolution Ralf Moeller Hamburg Univ. of Technology Boole'sche Algebra Äquivalenzen als "Transformationsgesetze" Ersetzbarkeitstheorem Zentrale

Mehr

Einführung in die Informatik I

Einführung in die Informatik I Einführung in die Informatik I Arithmetische und bitweise Operatoren im Binärsystem Prof. Dr. Nikolaus Wulff Operationen mit Binärzahlen Beim Rechnen mit Binärzahlen gibt es die ganz normalen arithmetischen

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik .. Umwandlung on Schaltfunktionen in die NOR und NAND Technik... Smbole 0 0 0 0 0 NAND Elemente 0 0 0 0 0 0 0 NOR Elemente Beachte : Jedes NOR bzw. NAND Element hat mindestens Eingänge!... Umwandlungsorschriften

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. April 2013 1 Repräsentation

Mehr

Kapitel 1: Schaltfunktionen und ihre Darstellung

Kapitel 1: Schaltfunktionen und ihre Darstellung Kapitel 1: Schaltfunktionen und ihre Darstellung Kapitel 1 Schaltfunktionen und ihre Darstellung Literatur: Oberschelp/Vossen, Kapitel 1 Kapitel 1: Schaltfunktionen und ihre Darstellung Seite 1 Motivation

Mehr

Informatik I Tutorium WS 07/08

Informatik I Tutorium WS 07/08 Informatik I Tutorium WS 07/08 Vorlesung: Prof. Dr. F. Bellosa Übungsleitung: Dipl.-Inform. A. Merkel Tutorium: 2 Tutor: Jens Kehne Tutorium 7: Dienstag,. Dezember 2007 Agenda des heutigen Tutoriums Übersicht

Mehr

zugehöriger Text bei Oberschelp/Vossen: 2.1-2.3

zugehöriger Text bei Oberschelp/Vossen: 2.1-2.3 Spezielle Schaltnetze Übersicht in diesem Abschnitt: Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich aus mehreren Gattern zusammensetzen ("spezielle Schaltnetze") und

Mehr

Digitaltechnik Grundlagen 4. Schaltalgebra

Digitaltechnik Grundlagen 4. Schaltalgebra 4. Schaltalgebra Prof. Dr.-Ing. Thorsten Uelzen Prof. Dr.-Ing. Thorsten Uelzen Version 1.0 von 02/2018 Gesetze und Rechenregeln - Die Schaltalgebra ist die Anwendung der allgemeineren Booleschen Algebra

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder Lösung 2. PROM - Dual-zu-Siebensegmentdecoder Die Ziffern bzw. Buchstaben sollen auf der Siebensegmentanzeige gemäß der Abbildung dargestellt werden: 0 2 3 4 5 6 7 8 9 0 2 3 4 5 Die Ansteuerung der Leuchtsegmente

Mehr

Logik für Informatiker

Logik für Informatiker Logik für Informatiker 2. Aussagenlogik Teil 3 06.05.2012 Viorica Sofronie-Stokkermans Universität Koblenz-Landau e-mail: sofronie@uni-koblenz.de 1 Bis jetzt Syntax (Formeln) Semantik Wertebelegungen/Valuationen/Modelle

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Grundlagen digitaler Systeme WS12

Grundlagen digitaler Systeme WS12 Grundlagen digitaler Systeme WS12 Binary Decision Diagrams Johann Blieberger 183.580, VU 2.0 Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email:

Mehr

Jede Belegung von k Variablen kann als Binärvektor aus k Binärwerten x 1...x k mit

Jede Belegung von k Variablen kann als Binärvektor aus k Binärwerten x 1...x k mit BOOLESCHE PROBLEME / SCHALTALGEBRA 1 1. Boolesche Räume Jede Belegung von k Variablen kann als Binärvektor aus k Binärwerten x 1...x k mit { } xi 0,1 ; i = 1...k dargestellt werden. Der Boolesche Raum

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr