Digitaltechnik 1. Bücher

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik 1. Bücher"

Transkript

1 WS /

2 Digitaltechnik Prof. Dr. Dietz Digitaltechnik Stoffübersicht:. Einleitung. Grundlagen der Digitaltechnik bis 5 ~ DT. Boolsche Algebra, Schaltalgebra 4. Schaltnetze 5. Schaltwerke 6. Rechnergestützten Entwurf von digitalen Schaltungen 7. Technische Realisierung von digitalen Schaltungen 6 bis 9 ~ DT 8. Zeitverhalten digitaler Schaltungen 9. Binärzahlenarithmetik Bücher Peter Pernards: H.M. Lipp: Digitaltechnik, Hüting Verlag Digitaltechnik II, Hüting Verlag Grundlagen der Digitaltechnik, Oldenburger Verlag Urbanski / Woitowitz: Digitaltechnik, Wissenschaftsverlag L. Borucki: Digitaltechnik, B.G. Tuebner Verlag Stuttgart.Einleitung Was ist Digitaltechnik? Anfänge ca. 95 Was ist Analogtechnik? Heute: digitale Steuerungstechnik digitale Reglungstechnik digitale Meßtechnik digitale Übertragungstechnik digitale Datenverarbeitung Bsp. aus der Meßtechnik: 5V bewegliches Gestänge Meßweg mm Wegsensor Signalleitung Uout Anzeige Bedeutung des Signals: V = mm 5V = mm V = 4 mm

3 Digitaltechnik Prof. Dr. Dietz Wertkontinuierliches Signal (analoges Signal) Jeder Wert zwischen und 5V ist zulässig und bedeutet einen anderen Meßwert. Einige Definitionen: Nachricht: Ist eine Information, die zwischen Komponenten übertragen wird. Sender Empfänger Daten: Signal: Zu verarbeitende Information. Elektrische Größe, die Träger der Information ist. Störungen, Rauschen Annahme: mv Rauschpegel mv = 4 ym Jeder Meßwert kann höchstens auf 4 ym genau sein. Die Signalleitung ist Träger von 5 verschiedenen Informationen. Anderer Ansatz: 5 verschiedene Informationen Information Meßwert Sollwert von Uout Toleranzintervall 4ym 5mV mv 4 8ym 5mV mv ,6 mm 4,95V 4,9 5V Wertdiskretes Signal (digitales Signal) Erhöhung der Genauigkeit durch Erhöhung der Anzahl der Signalleitungen Signalleitung Sensor (Sender) Anzeige (Empfänger) Signalleitung Jetzt sind 5 Informationen unterscheidbar.

4 Digitaltechnik Prof. Dr. Dietz Information Signal Signal Meßwert 5mV 5mV 8ym... 5mV 5mV 8ym 5 4,95V 5mV ,95V 5mV ,95V 4,95V,99mm mm In der Digitaltechnik werden mehrere wertdiskrete Signale zu einer Information zusammengefaßt, wobei den einzelnen Signalen eine unterschiedliche Wertigkeit zugeordnet ist. 7 7 digit = Ziffer, daher der Name Digitaltechnik Bei einem wertdiskretem Signal heiß der kleinste Abstand zwischen zwei zulässigen Werten, Quant. Def.: Ein wertdiskretes Signal mit nur zulässigen Werten heißt binäres Signal U Bsp: Spannungsbereich 5V Information :,5V ±,5V Information : V ±,5V Zurück zum Sensor Bsp.: V,5V H Pegel (High) L Pegel (Low) Forderung: 5 Werte unterscheidbar. Wie viele binäre Signale sind notwendig? Antwort: 9 Mit 9 binären Signalen lassen sich 9 =5 Informationen unterscheiden. Ein binäres Signal wird auch als BIT (binary digit) bezeichnet. 8 Bit werden Byte genannt. Mit einem Byte lassen sich 8 =56 Informationen unterscheiden. Vorteile von digitalen Systemen hohe Störsicherheit beliebig genaue Verarbeitung möglich speichern und übertragen ohne Genaugikeitsverlust geringe Anzahl von Grundschaltungen

5 Digitaltechnik Prof. Dr. Dietz 4. Grundlagen der Digitaltechnik. Dualzahlen Zahlensysteme Stellenwertsysteme sonstige Zahlensysteme (poyadische Systeme) Bsp.: römische Zahlen CD = 4 97,5 = *³+9*²+7* +* +* +5* 99 = CCCIC (57,5) = *8³+5*8²+7*8 +*8 +*8 +5*8 = (9,85) Verallgemeinerung: Z=(C... C... C ) = C *b +... C... C = Σ C * b zulässiger Wertebereich für die Ziffern c :... b Sonderfall: b= duales Zahlensystem, zulässige Ziffern:, Beispiele für gebräuchliche Zahlensysteme: Basis b Name Ziffernvorrat Bsp. Zahl Dualsystem, () Trialsystem,, () 8 Oktalsytem...7 (4) Dezimalsystem...9 (54) =54 6 Hexadezimalsyst....9,A...F (C) Umrechnung zwischen den Zahlensystemen Umrechnung im Dezimalsystem: Anwendung der oben stehenden Rechenvorschrift Bsp.: () = * 9 + *³+ *²= = 54 (C) = *6²+ *6 + *6 = = 54 Umrechnung von Dezimalsystemen in Systeme zur Basis b. Fortgesetzte Division durch b liefert die Ziffern als Divisionsrest (niedrigste Stelle zuerst).

6 Digitaltechnik Prof. Dr. Dietz 5 Bsp.: 54 soll als Dualzahl dargestellt werden (b=) 54: = 6 Rest 6: = Rest (54) = () : = 65 Rest 65: = Rest : = 6 Rest 6: = 8 Rest 8: = 4 Rest 4: = Rest : = Rest : = Rest Alternatives Verfahren für die Umrechnung ins er System 54 : 5 = Rest : 8 = ³ Rest 4 () 4 : 4 = ² Rest Weiteres Bsp. 4 sei als Dualzahl zu schreiben 4 : = 56 Rest : = 8 Rest 6 6 : = Rest () = *²+* +* = 5 5: = 5 Rest 5: = Rest : = Rest Umwandlung vom Dual ins Hexadezimalsystem: Bsp.: AB 6 = *6²+*6 +*6 (*³+*²+* +* )* 8 +(*³+*²+* +* ) 4 + (*³+*²+* ) = * +* +* 9 +* 8 +* 7 +* 6 +* 5 +* 4 +*³+*²+ * +* ()

7 Digitaltechnik Prof. Dr. Dietz 6 Eine Hexadezimalzahl läßt sich in eine Dualzahl umwandeln, indem jede Ziffer der Hexadezimalzahl in jeweils 4 Dualziffern umgewandelt wird. Eine Dualzahl wird in eine Hexadezimalzahl umgewandelt, indem jeweils 4 Dualziffern (evtl. von links Nullen auffüllen) in eine Hexadezimalziffer gewandelt werden. Bsp.: () =(5C) Umwandlung vom Dual ins Oktalsystem 8 : Jede Oktalziffer wird in jeweils Dualziffern umgewandelt. 8: Dualziffern (gegebenenfalls von links auffüllen) werden in eine Oktalziffer gewandelt. Bsp.: (76) 8 = () Einfache Dualzahlenarithmektik Addition: Bsp.: ()+() = = = 45 Rechenregel: Ziffernweise Addition: += += += += + Übertrag in nächste Stelle (Gültig für letzte Stelle) Ziffer Ziffer Ziffer Ergebnis Übertr.

8 Digitaltechnik Prof. Dr. Dietz 7 Multiplikation von Dualzahlen: 7* 5 Prinzip: Zurückführung auf 7 Addition 57 * * = 484 Sonderfall: Multiplikation mit einer Zweierpotenz ( n ) () + () = () Multiplikation mit n : n Nullen werden angehängt ( Komma nach rechts versch.) Division durch n : Komma wird um n Stellen nach links verschoben. (,) : () = (,). Binäre Codes Def.: Eine endliche Menge von Zeichen wird Zeichenvorrat oder Alphabet genannt. Bsp.: Lateinisches Alphabet mit 6 Buchstaben alphanumerischer Zeichenvorrat (enthält Buchstaben, Ziffern, Sonderzeichen) Dualziffern: Zeichenvorrat mit Zeichen ( und ) Def.: Ein geordnetes n Tupel von Zeichen wird Zeichenkette, Wort, oder String genannt. Bsp.: lateinisches Alphabet: DIGITAL; ELT LET alphanumerischer Zeichenvorrat: Z Def.: nach DIN 44: Ein Code ist eine Vorschrift, für die eindeutige Zuordnung der Zeichen eines Zeichenvorrats zu Wörtern aus einem zweiten Zeichenvorrat. Zeichenvorrat = Abbildung Urmenge Bildmenge

9 Digitaltechnik Prof. Dr. Dietz 8 Die Abbildung ist eindeutig, aber nicht umkehrbar eindeutig. (damit aber zulässig als Codierung). Extremfall: Bildmenge besteht nur aus Zeichen Konsequenz: Es werden unter Umständen sehr lange Codewörter benötigt Umgekehrtes Beispiel: Chinesische Schriftzeichen: sehr großer Zeichenvorrat Sehr kurze Worte (in der Regel Zeichen) Einteilung der binären Codes Codes beliebiger Längen Codes gleicher Länge (Blockcodes) alphanumerische Codes C) numerische Codes Wortcodes Zifferncodes A) B) Bsp. für Codes beliebiger Länge: Morsealphabet a b c d e numerischer Code: Urmenge sind Zahlen Zifferncodes Kodierungsvorschrift wird für jede Ziffer getrennt angewandt A) Wortcodes...5 sollen binär kodiert werden: Zahl Dualcode

10 Digitaltechnik Prof. Dr. Dietz Gesucht: Code mit der Eigenschaft, dass sich zwischen zwei aufeinander Folgenden Codewörtern genau eine Stelle ändert (einschrittiger Code) Graycode: ist ein einschrittiger Code 4 stelliger Graycode für Zahlen bis 5 Zahl Graycode

11 Digitaltechnik Prof. Dr. Dietz B) Zifferncodes BCD Code: binary coded digid bewertbare Codes Es gibt auch nicht bewertbare BCD Codes: Bsp.: Stiebitz Code Ziffer 84 Code 4 Code = Pseudotetradem = = 4 = 5 = 6 = 7 Ziffer Stiebitz Code 5 ok ok ok 4 ok 5 ok 6 ok 7 ok 8 ok 9 Pseudotetradem Code ist nicht bewertbar Bsp.: 5 stelliger BCD Code: Pseudo Pentadem stelliger BCD Code: gleichgewichtiger ( aus ) Code Ziffer ( aus Code) Code ist bewertbar

12 Digitaltechnik Prof. Dr. Dietz C) Alphanumerische Codes = Codes für Buchstaben, Ziffern, und Sonderzeichen 6 Bit Code für 64 Zeichen BCD Universal 6 Bit Code für 64 Zeichen BCD Universal EBCDI Code (8 stellig): Extended BCD Interchange Code ASCII Code (8 stellig): American Standard Code for Information Interchange (genormt 7 stellig). Digitalte Schaltkreise A) Schaltkreise mit einem Eingangssignal: Uout Analog: Ucc V Um V Uout V = Verstärkungsfaktor V Um Digital: UH Uout UL Uin Verhalten des digitalen Schaltkreises kann durch sogenannte Pegeltabelle charakterisiert werden. Inverter (Schaltsymbol) U in U out L H H L Andere Möglichkeit: U in U out L L H H neue dt. Norm DIN 49 UH UL Uout alte dt. Norm amerikanische Norm Treiber, Puffer (Buffer) UL UH Uin

13 Digitaltechnik Prof. Dr. Dietz B) Schaltkreise mit zwei Eingangssignalen Beispiel aus der Analogtechnik: OP = Operationsverstärker U+ U + Uout Digitaltechnik: Verknüpfungsglieder = Gatter Pegeltabelle ) UND Gatter (AND) U U U out L L L L H L H L L H H L & ) ) 4) U U U out L L L L H H H L H H H H U U U out L L L L H H H L H H H L U U U out L L H L H L H L L H H H ODER Gatter (OR) > Antivalenz Gatter (Exclusiv ODER) XOR = Äquivalenz Gatter (XNOR) =

14 Digitaltechnik Prof. Dr. Dietz C) Schaltkreise mit mehr als zwei Eingangsvariablen UND Gatter U U n & U out Verbale Beschreibung der Funktion: U out = H genau dann, wenn alle Eingänge U bis U n auf H liegen. Ansonsten ist U out = L. L Pegel am Eingang setzt sich durch. ODER Gatter U U n > U out Verbale Beschreibung der Funktion: U out = L genau dann, wenn alle Eingänge U bis U n auf L liegen. Ansonsten ist U out = H. H Pegel am Eingang setzt sich durch.

15 Digitaltechnik Prof. Dr. Dietz 4. Boolsche Algebra und Schaltalgebra. Boolsche Algebra Bool 847 Def.: Verknüpfungsgeblilde Menge (Grundmenge) und darauf definierte einstellige und zweistellige Verknüpfung Boolsche Algebra ist definiert auf Verknüpfungsgebilde mit endlicher Grundmenge, auf der zweistellige und einstellige Operationen definiert sind. Wenn auf einem solchen Verknüpfungsgebilde die sogenannte Huntinton schen Axiome erfüllt sind, spricht man von einer Boolschen Algebra. Bsp.: Grundmenge M = {;} Verknüpfungen,, gemäß folgender Verknüpfungstabellen Variablen a, b, c M (könne nur oder annehmen) Huntington sche Axiome: H: Abgeschlossenheit a b M a b M H: Kommutativgesetz a b = b a a b = b a H: Distributivgesetz a (b c) = (a b) (a c) a (b c) = (a b) (a c) H4: Neutrales Element a = a a = a H5: Komplementäres Element a _ a = a _ a =

16 Digitaltechnik Prof. Dr. Dietz 5 Dualitätsprinzip: linke Seite = rechte Seite bei Vertauschung des Verknüpfungszeichens und Austausch von und. Schaltalgebra / Kontaktalgebra leitende Verbindung offene Verbindung Schließer Öffner x Schaltvariable Serienschaltung von Schaltern: x x Seriensch. Parallelschaltung von Schaltern: x x Parallelsch. Änderung der Betätigung: Änderung x

17 Digitaltechnik Prof. Dr. Dietz 6 Es gelten folgende Entsprechungen: Serienschatlung: Parallelschaltung: Änderung der Betätigung: Bsp.: Distributivgesetz a b c a (b c) a b (a b) (a c) c Anwendung der Boolschen Algebra auf digitale Schaltkreise Elemente der Konakt positive negative Grundmenge algebra Logik Logik offene Verb L H geschl. Verb H L wird realisiert durch UND Gatter wird realisiert durch ODER Gatter wird realisiert durch Inverter z.bsp. Distributivgesetz a (b c) = (a b) (a c) & a b & > = c & >

18 Digitaltechnik Prof. Dr. Dietz 7. Rechenregeln der Schaltalgebra zunächst: Namen und Schreibweisen für Verknüpfungen: : UND Verknüpfung, Konjunktion : ODER Verknüpfung, Disjunktion : Negation a b, a & b, a * b, a b a b, a + b _ a Regeln für und : Regeln für eine Schaltalgebra _ = = a = a a * = a = * = a = a * = = * = a a = a a * a = a = * = a a _ = a * a _ = Regeln für mehrere Schaltvariablen: Assoziativgesetz: (a b) c = a (b c) (a * b) * c = a * (b * c) a b c = a * b * c Absorptionsgesetze: a (a * b) = a De Morgansche Regeln: a b = _ a * _ b a * (a b) = a a * b = _ a _ b Beweis des Apsorptionsgesetzes a (a * b) = a a b a * b a (a * b) a ( a * b) = a * a * b a = a * = a * a b x(y z) = x * y x * z = a ( b) b = = a * = a

19 Digitaltechnik Prof. Dr. Dietz 8 b = _ a a ist komplementär zu b a * b = a * _ a = a b = a _ a =.4 Schaltfunktionen Def.: Eine Schaltfunktion (SF) ist definiert durch einen schaltalgebraischen Ausdruck, der eine oder mehr Schaltvariablen enthält. Bsp.: f(x, x, x ) = ( x _ x ) * x _ Belegung: Eine SF mit n Eingangsvariablen hat n Funktionswerte. Die n Möglichkeiten, die Eingangsvariablen annehmen können, Belegungen der Eingangsvariablen genannt. Jeder Eingangsbelegung wird durch die SF ein Funktionswert zugeordnet. f(x, x, x ) = ( x _ x ) * x _ Belegung Zwischenrechnung Funktionswert x x x _ x _ x x _ x f(x, x, x ) Funktion einer Schaltvariablen x x f f f f Anzahl der Eingangsvariablen f Funktionswerte beginned, von der Nullbelegung als Dezimalzahl zusammengefasst Einsfunktion Negation Identität Nullfunktion

20 Digitaltechnik Prof. Dr. Dietz 9 Verallgemeinerung: n Eingangsvariablen n Eingangsbelegungen ( ) n Schaltfunktionen x x _ = x x x x Beweis: _ x x x x x x x _ x _ x _ x x x _ x x _ x x = x x & & > Funktion mehrerer Schaltvariablen: Konjunktion: x n * x n...* x SF ist, wenn alle x i =, ansonsten Disjunktion: x n x n... x SF ist =, wenn alle x i =, ansonsten Resümee: Eine SF kann durch eine Wahrheitstabelle oder durch einen Schaltalgebraischen Ausdruck beschrieben werden. ( ) Satz: Es gibt n SF mit n Schaltvariablen Def.: Eine Eingangsbelegung, bei der die SF den Wert () annimmt, heißt Einsstelle (Nullstelle) der SF. Schreibweise: Jede Eingangsbelegung läßt sich durch eine Belegungsnummer charakterisieren, die sich durch dezimale Interpretation der Eingangsbelegung ergibt (Reihenfolge, der Eingangsvariablen sind festgelegt). f(x, x, x ) B : x =, x =, x = B 4 : x =, x =, x = B 7 : x =, x =, x =

21 Digitaltechnik Prof. Dr. Dietz Bsp.: Funktion, gegeben durch Wahrheitstabelle x x x f(x, x, x ) Belegungs Nr Zwei Nullstellen: () B Sechs Einsstellen: B, B, B, B 4, B 5, B 6, B 7 Bsp.: Funktion, gegeben durch Schaltalgebraischen Ausdruck a) f(x, x, x ) = x _ * x * x _ Eine Einsstelle: (): B Nullstellen: B, B, B, B 4, B 5, B 6, B b) f(x, x, x ) = x x x Eine Nullstelle: (): B Einsstellen: B, B, B, B 4, B 5, B 6, B 7 _ c) f(x, x, x ) = x _ * x Einsstellen () B () B 6 Nullstellen: B, B, B 4, B 5, B 6, B 7 Bsp.: Gegeben Schaltalgebraischer Ausdruck, gesucht Wahrheitstabelle f(x, x, x ) = x x g(x, x, x ) = (x x x x x x _ x _ x x x ) (x x ) x x f x x _ x x g

22 Digitaltechnik Prof. Dr. Dietz g = (x x _ ) (x x ) = (x x _ ) x (x x _ ) x = x x x _ x x x x _ x a * a _ = = x x x x x x _ b = b = x x x x x _ x a * = a = x x x _ x x x (x x _ ) b b _ = = x x x _ x x x x x x x a a b = a = x x x _ x = f f = x x x _ x = (x x x _ ) (x x x ) a (b c) = (a b) (a c) = (x x _ ) (x x _ ) (x x ) (x x ) = (x x _ ) * * (x x ) (x x ) = (x x _ ) (x x ) (x x ) = (x x _ ) (x x ) (x x ) (x x _ ) = (x x _ ) (x x ) ( x x x ) (x x x ) a * (a b) = a = (x x _ ) (x x ) = g x x & x x & > f & g & > x x

23 Digitaltechnik Prof. Dr. Dietz 4. Schaltnetze 4. Begriffe Def.: Ein Schaltnetz (SN) ist eine Digitalschaltung, in der es für jede mögliche Kombination von digitalen Signalen an den Eingängen eine und nur eine Kombination von digitalen Signalen an den Ausgängen gibt. SN unmittelbare Abbildung der Eingänge auf die Ausgänge. Kombinatorische Logik Analyse von Schaltnetzen > h h h4 h & h > y = h 4 = h h = h h * c = a b a * c = ( a b) * ( a * c) = ( a b) * ( a c) = a * a ab ab bc = a ab ac bc = a bc

24 Digitaltechnik Prof. Dr. Dietz y = a bc c b a a bc y Analyse SN: SN schaltalgebraischer Ausdruck Wahrheitstabelle Synthese: Wahrheitstabelle Schaltalgebraischer Ausdruck SN 4. Mintherme und Maxtherme Bsp: f(x, x, x ): x x x x x x x x B 5 B 6 Bsp: f(x, x, x ): ( x x x x ) *( x x x x ) B B 9 Def.: Gegeben sei eine SF mit n Eingangsvariablen. Eine Konjunktion, in der alle Variablen genau einmal (bejaht oder negiert) vorkommen, heißt Vollkonjunktion oder Minterm. Eine Disjunktion, in der alle Variablen genau einmal (bejaht oder negiert) vorkommen, heißt Volldisjunktion oder Maxterm. Satz: Eine SF, die durch einen einzigen Minterm (Maxterm) beschrieben wird, besitzt genau eine Einsstelle (Nullstelle). Bezeichnung: Minterm: m i i = Belegungsnummer der Einsstelle Maxterm: M i i = Belegungsnummer der Nullstelle Es gilt m i = Mi Bsp: 4 SV x 4, x, x, x, x m =? m = x 4 x x x m =? m = x x x 4 x M =? M = x x 4 x x M 8 =? M 8 = x x 4 x x

25 Digitaltechnik Prof. Dr. Dietz 4 4 SV x 4, x, x, x x x 4 x weder Min noch Maxtherm 4. Disjunktive und konjunktive Normalform einer Schaltfunktion Abkürzungen: DNF, KNF c b a f f a f b f c f c f = f a f b f c f d f a = m = c b a f b = m = c b a f = f(c,b,a)= f c = m 4 = c b a m m m m 4 f d = m 7 = c b a = c b a c b a c b a c b a DNF c b a f f a f b f c f c f = f A * f B * f C * f D f A = M = c b a f B = M = c b a f C = M 5 = c b a f D = M 6 = c b a = (c b a) * (c b a ) * (c b a ) * (c b a) KNF Satz: (Hauptsatz der Schaltalgebra): Eine SF mit n Variablen x n...x läßt sich auf die folgenden beiden Arten Darstellen: ) f(x n...x ) = m i *f(b i ) n i = ) f(x n...x ) = & n i = M i f(b i ) DNF KNF

26 Digitaltechnik Prof. Dr. Dietz 5 DNF: f(c,b,a) = m f(b ) m f(b ) m f(b ) m f(b ) m 4 f(b 4 ) m 5 f(b 5 ) m 6 f(b 6 ) m 7 f(b 7 ) = m m m m 4 KNF: f(c,b,a) = [M f(b )] * [M f(b )] * [M f(b )] * [M f(b )] * [M 4 f(b 4 )] * [M 5 f(b 5 )] * [M 6 f(b 6 )] *[M 7 f(b 7 )] = M * M * M 5 * M 6 Weiteres Bsp.: x x x f g h DNF f(x, x, x ) = x x x x x x x x x x x x KNF f(x, x, x ) = (x x x ) ( x x x ) ( x x x ) ( x x x ) DNF g(x, x, x ) = x x x x x x KNF h(x, x, x ) = (x x x ) ( x x x ) Entwicklungssatz Bsp.: f(x, x, x ) = (x x ) x gesucht sei DNF

27 Digitaltechnik Prof. Dr. Dietz 6 x x x (x x ) f f(x, x, x ) = x x x x x x x x x x x x Def.: Geben sei eine Sf mit n Variablen x n...x. Wird einer Eingangsvariable x i der Wert oder fest zugeordnet, so entsteht eine sogenannte Restfunktion, die nur noch von n Variablen abhängt. Schreibweise für die Restunktionen: f ( x,... x, x,... ) Bsp.: f(x, x, x ) = x x x x f = x x = x xi = xi = f = x x = x f xi = n i + i x xi = ( xn,... x i +, xi,... x ) Satz: Gegeben sei eine SF f(x n...x i...x ). Diese läßt sich auf Arten nach der Variablen x i entwickeln. ) f(x n...x i...x ) = x i xi ) f(x n...x i...x ) = (x i f f = x f i xi = xi = ) * ( x i xi = f ) f(x, x, x ) = (x x ) x = x * [( x ) x ] x *[( x ) x ] = x [x *( ) x ] x [( ) x ] x [x ( ) x ] x [( ) x ] = x x [( ) x ] x x [( ) x ] ( ) x x x [( ) x ] = x x ( x ) x x ( x ) x x ( x ) x x ( x ) = x x [x ( x ) x ( )] x x [x ( ) x ( )] = x x [x ( x ) x ( )] x x [x ( ) x ( )] x x [x ( ) x ( )] x x [x ( ) x ( )] = x, x, x x x x x x x x x x

28 Digitaltechnik Prof. Dr. Dietz Primblöcke und Primterme Bsp.: f(x 4,x, x, x ) = x x 4 x x x x 4 x x x 4 x x = ( x x 4 x ) ( x x ) = x x 4 x Def.: Gegeben sei eine SF mit n Variablen. Eine Konjunktion (Disjunktion) von m Variablen (<m<n), bei der jede Variable genau einmal vorkommt, heißt Produktterm (Summenterm) Bsp.: f(x 4,x, x, x ) x 4 x x x Einsstelle () x 4 x x Einsstelle () () x Einsstelle () x 4 () () () () Def.: Eine Kombination der Eingangsvariablen, bei der jeder Variable eine, oder eine Freistelle (don t care ) zugewiesen ist, heißt Belegungsblock. Die einzelnen Belegungen eines Belegungsblocks erhöht man, wenn man die Freistellen mit allen Kombinationen der Werte und ersetzt. Bsp.: (,,,) (,,,) (,,,) (,,,) ( ) ( ) ( ) ( ) Satz: Ein Belegungsblock mit k Freistellen umfaßt k Einzelbelegungen. Satz: Ein Produktterm (Summenterm), bei dem k Variablen fehlen, beschreibt k Einsstellen (Nullstellen). Diese liegen in einem Belegungsblock.

29 Digitaltechnik Prof. Dr. Dietz 8 Bsp.: f(x 4...x ) Summenterm: x 4 x x : (,,,) ( ) = B 5 Summenterm: x 4 x : (,,,) ( ) = B 7 B,B,B 5,B 7 Produktterm: x x : (,,,) B 4,B 6,B,B 4 Wahrheitstabelle: x 4 x x x f B 4 B 6 B B 4 Das Diagramm nach Karnaugh Veith (KV Diagramm) Variable x : x Variablen x, x : x x

30 Digitaltechnik Prof. Dr. Dietz 9 Variablen x, x, x : x 5 4 x 7 x 6 4 Variablen x 4, x, x, x : 5 4 x x x x x 4x 4 x x f(c, b, a) = a b a c b c = a b b c a 5 4 b 7 c 6 Aufgabe, die mit Hilfe des KV Diagrammes gelößt werden soll. Suche maximal große Einsblöcke und den zugehörigen Produkterm. Suche maximal große Nullblöcke und den zugehörigen Summenterm.

31 Digitaltechnik Prof. Dr. Dietz Def.: Gegeben sei eine SF f(x n...x ). Ein Belegungsblock, der nur Einsstellen (Nullstellen) von f umfaßt, heißt Einsblock (Nullblock). Der zugehörige Produktterm (Summenterm) heißt Implikant (Implikat) von f. Def.: Gegeben sei eine SF f(x n...x ). Ein Einsblock (Nullblock), der selbst in keinem anderen Einsblock (Nullblock) mehr enthalten ist, heißt Primeinsblock (Primnullblock) von f. Der zugehörige Implikant (Implikat) wird Primimplikant (Primimplikat) genannt. Bsp.: x 4 x x x f a) KV Diagramm b) Primeinsblöcke c) Primimplikanten a) x 8 9 x x 4 6 4x 4 b) 4 Primeinsblöcke c) x x x x 4 x x x x 4 x x x

32 Digitaltechnik Prof. Dr. Dietz Bsp.: x 8 9 x x 4 a b d a d c a d c b a x a) Primeinsblöcke Bsp.: b Bsp.: b 8 9 a a c d d d b d c b b a c b a d a d c a c a d c b c b b a 8 9 c f = (c a) (d c b) (c b ) (b a) = (c a) (c b ) (d c ) (d c b) (b a) = (c a b ) (d b d a c b c a b b a) = (c b a) (b d a c a) = c b d c a d b a c b a = c b d c a d b a c b a

33 Digitaltechnik Prof. Dr. Dietz 4.5 Unvollständige Schaltfunktionen Bsp: Gesucht sei ein SN, das entscheidet, ob eine im BCD Code kodierte Ziffer > 7 ist. d c b a SN y Wahrheitstabelle: d c b a y Def.: Eine unvollständige SF ist eine SF, deren Definitionsmenge nicht alle möglichen Eingangsbelegungen umfasst. Die Belegungen, für die kein Funktionswert definiert ist, heißen Redundanzen, Freistellen oder don t care Belegungen. a 5 4 Gesucht: möglichst einfache disjunktive Form b d d c b a y = d c b a 8 9 c

34 Digitaltechnik Prof. Dr. Dietz Satz: Eine unvollständige SF mit r Freistellen, besitzt r Vervollständigungen Bei der Realisierung kann jede dieser Vervollständigungen ausgewählt werden. Dieser Freiheitsgrad wird ausgenutzt, um möglichst große Einsblöcke (Nullblöcke) zu finden. Def: Gegeben sei eine unvollständige SF f(x n...x ). Ein Produktterm (Summenterm) heißt Implikant (Implikat) von f, wenn der zugehörige Belegungsblock nur Einsstellen (Nullstellen) oder Redundanzen von f umfasst. Sind die Blöcke maximal groß, so heißen sie Primeinsblöcke (Primblöcke), die zugehörigen Produktterme (Summenterme) heißen dann Primimplikanten (Primimplikate). Bsp.: c b a f Primimplikanten: b a f = b c a Primimplikate: 5 7 c 4 6 b c a a b 5 7 c 4 6 d c b f = a (c b )

35 Digitaltechnik Prof. Dr. Dietz Verfahren zur Bestimmung von Primimplikanten und Primimplikaten Symmetrieverfahen im KV Diagramm. (Heuristische Verfahren, d.h. ein strenger Algorithmus, Erfahrung des Anwenders erforderlich) a a b x c 8 9 e x 5 d Nachbarn von 7:,5,6,5, Nachbarn von 5: 7,9,4,9,7 4 er Block, mögliche 4er Blöcke:,,,9,,,,7,,5,,9,7 4er Block,4,8, Ergänzung zum 8er Block:,8,6,4,,6,4,5,9, Bsp.: Gegeben sei SF f(e,d,c,b,a) Einsstellen: E={,,,8,9,,4,5,6,7,9,4,5,7,} Redundanzen: R={ } a a b c 8 9 e d A: c b B: e c a C: d c a D: e d c a E: e d b a F: e d c b G: d c b a H: e d b a

36 Digitaltechnik Prof. Dr. Dietz 5 Tips für das Symmetrieverfahren im KV Diagramm: Ein Block umfaßt stets n Felder. Redundanzen dürfen in die Blöcke aufgenommen werden. Eine Einsstelle (Nullstelle) kann in mehreren Blöcken enthalten sein. Selbst wenn alle Einsstellen (Nullstellen) durch Blöcke abgedeckt sind, kann es noch weitere maximal große Blöcke geben Verfahren nach Quine Mc Clustky (algorithmische Verfahren) Idee: Überprüfen, ob sich Blöcke zusammenfassen lassen. ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) Nein ( ) ( ) ( ) ( ) ( ) ( ) ( ) Satz: Zwei Belegungsblöcke der Länge n lassen sich zusammenfassen, wenn sie an n Stellen übereinstimmen und an der nten Stelle der erste Block eine, der zweite Block eine aufweist. Der zusammengefaßte Block besitzt dann an dieser Stelle eine Redundanz (). Zwei zusammenfaßbare Blöcke unterscheiden sich in der Anzahl der Einsen um. Die Anzahl der Einsen wird als Gewicht eines Blockes genannt. Bsp.: Gegeben f(d,c,b,a) E={,5,6,7,9,5} R={8,4} Gesucht: alle PI i Block G

37 Digitaltechnik Prof. Dr. Dietz 6 () i Block i Block,5 (A),9 (B) 8,9 (C) 5,7 (D) 6,7 6,4 7,5 4,5 i Block 6,7,4,5 (E) A: d b a B: d c a C: d c b D: d c a E: c b Verfahren nach Quine Mc Clusky: () Liste der Einsblöcke erstellen und Gewicht berechnen () Startliste Liste aus () nach steigendem Gewicht sortieren. Alle Blöcke vom Gewicht G mit allen Blöcken vom Gewicht G+ auf zusammenfaßbarkeit überprüfen. Dabei entstehende größere Blöcke in neue Liste eintragen. Blöcke, die zusammenfassbar waren, werden abgehakt (Haken rechts). Neue Liste ist automatisch nach Gewicht sortiert. Ist die alte Liste abgearbeitet, werden die Vergleiche in der neuen Liste durchgeführt. () Verfahren beendet, wenn keine neue Liste entsteht. (4) Die nicht abgehakten Blöcke aller Listen sind die gesuchten, maximal großen Blöcke. (5) Zu jedem Einsblock PI angegeben, bzw. zu jedem Nullblock Primimplikate (PA) angegeben. Blöcke, die nur Redundanzen enthalten entfallen Verfahren nach Nelson Idee: Eine beliebige konjunktive Form einer SF wird aufgestellt, und durch Anwenden von Distributiv und Absorptionsgesetz umgeformt in eine disjunktive Form. Satz: Diese disjunktive Form a) nur Primimplikanten b) alle Primimplikanten

38 Digitaltechnik Prof. Dr. Dietz 7 Umgekehrt: Ausgehend von einer beliebigen disjunktiven Form, erhält man durch Umformen mittels Distributiv und Absorptionsgesetz eine konjunktive Form, die nur Primimplikate und alle Primimplikate enthält. a 5 4 b d Gesucht: alle PI c Ausgangspunkt: f = (c a) (c b ) (d c b) (d c b a) = (c cb a c b a) ( d c d b d a dc c c b c a d b c b b b a = (c b a) (d a c b) = (d c a c b d b a c b a ) = d c a c b d b a c b a a b d c b d c a d b a c b a Gesucht: alle PA c Ausgangspunkt: beliebige disjunktive Form f = c b {(d d) (d c ) (d b ) (d a) (d b ) (c b ) b (b a) (d a) (c a) (b a)a} = c b (d c ) b a = (c d c ) (c b ) (c a) (d c b) (b b ) (b a) = (c b ) (c a) (b a) (d c b)

39 Digitaltechnik Prof. Dr. Dietz 8 Nelsonverfahren bei unvollständigen SF: Bsp.: a 5 4 b d c Gesucht alle PI Ausgangspunkt ist eine konjunkive Form (disjunktive Form), die die Freistellen nicht enthält. Im Ergebnis sind dann die Freistellen enthalten (subraktives Verfahren). Ausgangspunkt: f = (d c b) (c b ) (d b a) = (c b ) (d c b) (d b a) = (c b ) ( d b d a d c c b c a d b b b a) = (c b ) (d a d c c a b) = d c a c b d b a d b c c b a = d c a c b d b a d b c c b a b a d c b d c a d b a c b a d c b c Nelson Verfahren zur Berechnung aller PI (PA) () Ausgangspunkt: Beliebige konjunktive (disjunktive) Form, welche die Freistllen nicht enthält. () Anwenden des Distributiv und Absorptionsgesetzes. () Die entstandene disjunktive (konjunktive) Form enthält alle PI (PA) (4) Blöcke, die nur Freistellen enthalten, können gestrichen werden.

40 Digitaltechnik Prof. Dr. Dietz Disjunktive und Konjunktiveminimalform Abkürzungen: DMF, KMF Bestimmung der DMF (KMF) ) Bestimmung aller PI (PA) ) Geeignete Auswahl einer Teilmenge Bsp.: a d b = p b c d c b = p d ca = p dc a = p 4 d b a = p 5 d c a = p 6 c b a = p 7 b a = p 8 ) Minimale Auswahl von PI, so daß alle Einsstellen der Funktion erfaßt (überdeckt) sind. Gesucht ist eine minimale Überdeckung der Einsstellen von f. Tabellarische Information, welcher PI welche Einsstelle überdeckt, heißt Überdeckungstabelle. PI p x x p x x p x x p 4 p 5 x x p 6 x x p 7 x x x Kern Primimplikanten: Spalten mit nur einer Markierung kennzeichnen die sogenannten Kernprimimplikanten. Diese müssen in die gesuchte Überdeckung aufgenommen werden. p 8

41 Digitaltechnik Prof. Dr. Dietz 4 Reduzierte Überdeckungstabelle: Steichen der Kern PI und alle Spalten, die bereits durch Kern PI abgedeckt werden. reduzierte Überdeckungstabelle: PI 4 4 p x x p x x p x x p 5 x x p 6 x x p 7 x x p, p, p, p 5 p, p, p 5 : reduzierte Überdeckung: Das weglassen eines PI, führt dazu, daß keine Überdeckung mehr vorliegt. Berechnung aller irrelevanten Überdeckungen mit dem Petrich Verfahren: = (P 5 P 6 ) (P P 6 ) (P 5 P 7 ) (P P ) (P P 7 ) (P P ) = = (P 6 P P 5 ) (P 7 P P 5 ) (P P P ) = (P 6 P 7 P P 5 P 6 P P 5 P 7 P P P 5 ) (P P P ) = P P 6 P 7 P P P 5 P 6 P P P 5 P 7 P P P P 5 P P P 6 P 7 P P P 5 P 6 P P P 5 = P P 6 P 7 P P P 5 P 6 P P P 5 P 7 P P P 5 P 6 P P P 5 irredundante Überdeckung (inkl. Kern PIs) Ü = P P 4 P 6 P 7 Ü = P P P 4 P 5 P 6 Ü = P P P 4 P 5 P 7 Ü 4 = P P P 4 P 5 P 6 Ü 5 = P P P 4 P 5 Ü : & & & > Kostenoptimierungsproblem Vorschlag: Zahl der Eingänge in Eingangsstufe, plus Zahl der Eingänge in der Ausgangsstufe. &

42 Digitaltechnik Prof. Dr. Dietz 4 (red. Überd.) Kosten Ü 5 = +4 Ü 9 = 4+5 Ü 8 = +5 Ü 4 9 = 4+5 Ü 5 5 = +4 Bezüglich obriger Kostenfunktion existieren DMF s: f = d b d c a d c a c b a f = c b d c a d c a d b a 4.8 Häufig benutzte Schaltnetze 4.8. Multiplexer und Demultiplexer Multiplexer (MUX): d MUX d d y 4 : MUX d s s y = s s d s s d s s d s s d Symbol: MUX } G 7

43 Digitaltechnik Prof. Dr. Dietz 4 Demultiplexer: DX y d y y y s s y = d s s y = d s s y = d s s y = d s s Symbol: DX } G Multiplexer Schaltnetze : MUX b a b a b = a ( b) a ( b) = a b a a

44 Digitaltechnik Prof. Dr. Dietz 4 b a b a b = a ( b) a ( b) = a a b a c a b c a b c = a ( b c) a ( b c) = a [b ( c) b ( c)] a [b ( c) b ( c)] = a b ( c) a b ( c) a b ( c) a b ( c) = a b a b a b a b c a b Dekoder: '' DX } G Rechenschaltungen a b s Halbaddierer a b SN s c

45 Digitaltechnik Prof. Dr. Dietz 44 a b s c S = a b C = a b a = b S & C Σ Co C o : carry out Volladdierer notwendig für jede weitere Stelle a n b n c n SN S n c n+ S n : b n a n 5 7 c n 4 6 a n b n c n S n c n+ c n+ : b n a n cn

46 Digitaltechnik Prof. Dr. Dietz 45 S n = (a n b n ) c n c n+ = a n b n c n (a n b n ) a n b n = a n b n = S n & a n b n & c n > c n+ Symbol: Σ c I : carry in C I C o Addierer mit Serienübertrag (ripple carry adder) Einfache Strucktur, wenig Aufwand, aber nicht geschwindigkeitsoptimal b S S a b S a b S S 4 a a b Σ Σ Σ Σ CI Co CI Co CI Co CI Co Addierer mit Parallelübertrag: Mehr Schaltaufwand, aber schneller Σ Σ Σ Σ C I CG CP C I CG CP C I CG CP C I CG CP CI carrylookahead Generator Co

47 Digitaltechnik Prof. Dr. Dietz 46 Bedeutung von CP und CG CG: zeigt an, ob in einer Stelle n ein carry neu entsteht. CG n = a n c n CP: zeigt an, ob in einer Stelle n ein carry weitergeleitet wird. (Gegenteil wäre, carry wird verschluckt) cp n = a n b n CI = CG CI CP CI = CG CG CP CI CP CP CI = CG CG CP CG CP CP CI CP CP CP C = CG CG CP CG CP CP CG CP CP CP CI CP CP CP CP 4.9 Schaltnetztransformationen DMF, DNF: stufige UND ODER Form a b c & d e & > y f g & a b c de f g = abc de fg = abc de fg a b c & d e & & y f g &

48 Digitaltechnik Prof. Dr. Dietz 47 Transformationsregel : Ein stufiges Schaltnetz mit UND Gattern in der Eingansstufe und einem ODER Gatter in der Ausgangsstufe, ist äquivalent zu einem SN, das in beiden Stufen NAND Gatter enthält. Transformationsregel : Ein stufiges ODER UND Schaltnetz ist äquivalent zu einem stufigem NOR NOR Schaltnetz.

49 Digitaltechnik Prof. Dr. Dietz Schaltwerke 5. Sequentielle Logik kombinatorische Logik: E i (t n ) A j (t n ) SN A j (t n ) = f[e i (t n )] sequentielle Logik: E i (t n ) A j (t n ) SW A j (t n ) = f[e i (t n ), E i (t n ),...,E i (t )] Vorgeschichte interner Zustand des Schaltwerks. Z n (t n ) A j (t n ) = f[e i (t n ), Z k (t n )] Bsp.: Tresor ) E = E ) E = 8 E 8 ) E = 5 E 5 E = 5 SN Tresor auf Tresor zu t = Zum Zeitpunkt t ist wichtig: Waren zu den Zeitpunkten t und t die Werte und 8 eingestellt, oder irgend eine andere Kombination.

50 Digitaltechnik Prof. Dr. Dietz 49 Grundzustand E E = Tresor auf E 8.Stelle OK E = 8.Stelle OK E 5 E = 5 5. Formale Beschreibung von Schaltwerken Schaltwerksgraph, Zustandsdiagramm, Kreisdiagramm Innere Zustände des Schaltwerks: Zustandsübergänge: Eingabe / Ausgabe: dargestellt durch Kreise (Knoten) dargestellt durch Linien zwischen den Kreisen (Knoten) Beschriftung an den Kanten. Wenn die Eingabe auftritt, wird die entsprechende Kante durchlaufen. Bsp.: Geldwechselautomat: siehe Umdruck 7 Weitere Möglichkeit der Beschreibung: Folgezustandstabelle linke Seite: rechte Seite: Eingabe und aktueller Zustand Folgezustand und Ausgabe 5. Schaltnetze mit Rückkopplungen a b : MUX y Y = c a c b c

51 Digitaltechnik Prof. Dr. Dietz 5 : MUX Q D C Zeitdiagramm, Impulsdiagramm. Zeitlicher Verlauf von digitalen Signalen wird dargestellt. C D Q C =, D = Q = C =, D = Q = (Schaltwerkverhalten) C D Q Q + Schaltwerkstabelle: Folgezustandstabelle in codierter Form d. h. die SW Tabelle enthält nur noch digitale Signale Asynchrone Realisierung eines Schaltwerks: ) Schaltwerkstabelle aufstellen (links: Eingangsvariablen und Zustandsvariablen, rechts: Folgezustandsvariablen und Ausgangsvariablen) ) Schaltnetzentwurf für jede Folgezustandsvariable. ) Rückführung zwischen Zustandsvariablen und entsprechender Folgezustandsvariablen

52 Digitaltechnik Prof. Dr. Dietz 5 Q 5 4 Q + = C D C Q Charakteristische Gleichung D 7 C 6 Rückführung Q D C SN für charakter. Gleichung Q Speicherelemente 5.4. Das asynchrone RS Flip Flop Flip Flop: einfachstes SW mit Zuständen ( Zustandsvariablen) Weitere Beschreibungsmöglichkeit: Funktionstabelle: Eingänge: R und S R S Q + Funktion Q Speichern Setzen Rücksetzen Verboten SW Tabelle: R S Q Q + S Q 5 7 R 4 6

53 Digitaltechnik Prof. Dr. Dietz 5 Q + = R Q R S = R (Q S) = R( Q S) = R Q S Q S R > > Q + Q Umzeichnen: Symbol: S > NQ R Q S NQ R > Q Variante: R S Q + Funktion Verboten Rücksetzen Setzen Q Speichern R S Flip Flop S > & Q R Q S NQ R > & NQ

54 Digitaltechnik Prof. Dr. Dietz 5 Anwendung ntprell Schaltung: VCC GND Pull up Widerstand VCC 4,7k x GND Prellen x x Schließen t=to to VCC A R Q GND B S A B Q

55 Digitaltechnik Prof. Dr. Dietz Das taktzustandsgesteuerte D Flip Flop Weitere Bezeichnungen: Pegelgesteuert, taktpegelgesteuert (latch, trasparentlatch) C D Q + Funktion Q Speichern Q Speichern Rücksetzen Setzen C = Clock D = Data Entwurfsmöglichkeiten: ) Über SW Tabelle und charakteristische Gleichung (Übungsaufgabe) C & > Q D & ) Verwendung des schon bekannten RS Flip Flop Weitere Beschreibungsform des RS Flip Flop Synthesetabelle: Q Q + R S

56 Digitaltechnik Prof. Dr. Dietz 55 SW Tabelle: R Q C D Q Q + R S S D D Q C C R = C D S = C D & R D C & S Q C & R > Q D & S > Das Eingangssignal Takt (Clock) legt fest, wenn Zustandsänderungen überhaupt möglich sind. In unserem Fall: Bei C = sind keine Zustandsänderungen möglich (Funktion speichern ausgewählt), bei C = sind Zustandsänderungen möglich (Setzen, Rücksetzen). Konsequenz: Ein Taktsignal wird beim Entwurf nicht besonders beachtet (Name: D Flip Flop) Zustandsgraph: D = D = macht Sinn, wenn auch Takt (ohne explizit erwähnt zu sein) mit dabei ist.

57 Digitaltechnik Prof. Dr. Dietz 56 Symbol: D C: Takteingang (Ziffer nachgestellt) C die davon abhängigen Eingänge, haben die Ziffer vorangestellt. Impulsdiagramm: D C Q 5.4. Das taktflankengesteuerte D Flip Flop Bedeutung des Takts in diesem Falle: Zustandsübergänge sind nur zu dem Zeitpunkt möglich, bei dem das Taktsignal von auf wechselt () Funktionstabelle: C D Q + Funktion Q Speichern Q Speichern fl Q Speichern Rücksetzen Setzen Impulsdiagramm: D C Q

58 Digitaltechnik Prof. Dr. Dietz 57 Symbol: D >C Entwurf: SW mit 4 Zuständen:.FF rückgesetzt, Takt C war zuletzt.ff rückgesetzt, Takt C war zuletzt.ff gesetzt, Takt C war zuletzt.ff gesetzt, Takt C war zuletzt Zustandsgraph mit Legende: C, D Zustands Nr. Q Logische Schaltung für taktflankengesteuertes D Flip Flop.

59 Digitaltechnik Prof. Dr. Dietz 58 Synthesetabelle für das D Flip Flop: (ohne explizit Takt aufzuführen: Takt erlaubt Zustandsübergang) Q Q + D Das T Flip Flop T= toggle: Ändern des Zustands nur sinnvoll mit Taktflankensteuerung. Funktionstabelle: T C Q + Funktion Q Speichern Q Speichern fl Q Speichern Q Speichern Q Toggeln Synthesetabelle: Q Q + T Schaltwerksgraph: T = T = T = T =

60 Digitaltechnik Prof. Dr. Dietz 59 Symbol: T >C Flip Flop Transformation: Annahme: D FF vorhanden, gesucht ist Zusatzbeschaltung, so das sich Schaltung wie T FF verhält. SW Tabelle für T Flip Flop: T Q Q + D D = T Q Ansteuerfunktion T = D Q C >C Umgekehrte Aufgabenstellung: T FF vorhanden SW Tabelle für das nachzubildende FF: D Q Q + T T = D Q

61 Digitaltechnik Prof. Dr. Dietz 6 D = T Q C >C Anwendung für T Flip Flop Taktteilerschlatung '' T Q C >C C Q Taktteilerschlatung mit D Flip Flop: D D Q C >C D Q C >C C Q D

62 Digitaltechnik Prof. Dr. Dietz Das taktflanken gesteuerte RS Flip Flop Symbol: R S >C Funktionstabelle: R S C Q + Q Q fl Q Q verboten Das JK Flip Flop Symbol: J K >C Funktionstabelle: Synthesetabelle: J K C Q + Q Q fl Q Q Q Q Q + J K

63 Digitaltechnik Prof. Dr. Dietz Master Slave Flip Flops Zweispeicher Flip Flops interner Aufbau: D D D Q C >C >C Impulsdiagramm: C Master Slave D Q n Q nach Außen nicht sichtbar Flip Flops mit zusätzlichen Eingängen Symbol: () D R >C Rücksetzeingang asynchron, d.h. vom Takt unabhängig. () D R >C Rücksetzeingang synchron, d.h. vom Takt abhängig. D R C Q + () () D R C Q + Q Q Q Q fl Q fl Q Die Zusatzeingänge, haben höhere Priorität, als Ansteuereingänge.

64 Digitaltechnik Prof. Dr. Dietz Flip Flop Übersicht und Register Arten der Klassifizierung ) Arten der Taktsteuerung: kein Takt (asynchron) taktzustandsgesteuert taktflankengesteuert ) Art der Ansteuerfunktionen: RS, D, T, JK ) Art der Zwischenspeicherung: nicht vorhanden vorhanden (Master Slave Flip Flop) Register: mehrere Flip Flops mit gleichem Takt Bsp.: 4 Bit D Register D >C D >C Symbol: >C REG D D D D D >C Clock D >C 5.5 Klassifizierung von Steuervariablen 5.5. Synchron und asynchrone Schaltwerke Synchron: alle Zustandsübergänge sind vom gleichen Takt abhängig Asynchron: ) Flip Flops vorhanden, die aber von verschiedenen Taktsignalen gesteuert werden. ) Asynchrone Flip Flops werden verwendet ) Keine Flip Flops vorhanden, Speicherung mittels rückgekoppelter Schaltnetze

65 Digitaltechnik Prof. Dr. Dietz Steuerwerksstruckturen Mealy Schaltwerk (allgemeine Struktur) Q + = f(e,q) A = f(e,q) ÜSN: Überführungsschaltnetz (NSD: next state decoder) ASN: Ausgabe Schaltnetz (OD: output decoder) E ÜSN Speicher ASN A Clock Moore Schaltwerk Q + = f(e,q) A = f(q) E ÜSN Speicher Clock ASN A Konsequenz: Bei der Darstellung im SW Graph, kann die Ausgabe den Zuständen zugeordnet werden. Zustand E/A UM / MZ Zustand MS / Ka DM A E Mealy Moore

66 Digitaltechnik Prof. Dr. Dietz 65 Meawedew Schaltnetz Moore SW ohne ASN Q + = f(e,q) A = Q E ÜSN Speicher A Clock 5.6. Entwurf von synchronen Schaltwerken 5.6. Entwurfsschritte. Definition der Ein und Ausgabevariablen. Formale Beschreibung des schaltwerkverhaltens (SW. Graph, bzw. Folgezustandstabelle). Zahl der Zustandsvariablen festlegen und Zustände Kodieren (Zustandskodierung) 4. SW Tabelle aufstellen, FF Typ festlegen, Ansteuertabelle aufstellen 5. Schaltnetzentwurf für Ansteuerfunktionen (ÜSN) 6. Schaltnetzentwurf für Ausgabefunktionen (ASN) 7. Zeichnen des Schaltbildes 5.6. Beispiele Bsp.: Geldwechselautomat Entwurfsschritte bis zum Aufstellen der SW Tabelle siehe Umdruck 7 FF Typ: JK Flip Flop Q Q + J K

67 Digitaltechnik Prof. Dr. Dietz 66 Ansteuertabelle: q + q J K q + q J K a A Ansteuerfunktionen: J q q e 4 6 4e J = e e q e e q K K =

68 Digitaltechnik Prof. Dr. Dietz 67 J q q e 4 6 4e J = e e q K K = Ausgabefunktionen: a q q e 4 6 4e a = e e e q e q e q a q 5 4 q 7 6 a e = q e e e q e

69 Digitaltechnik Prof. Dr. Dietz 68 e e q q e e J SN '' J K >C a SN a e e J SN '' J K >C a SN a Clock Bsp.: Gegeben: SW Graph Eingabe: ct (control) Ausgabe: Sf = slow / fast fb = forward / backward Zustand Sf, fb ct

70 Digitaltechnik Prof. Dr. Dietz 69 Zustandskodierung: Zustand q q binäre Zustandskodierung SW Tabelle: D : Ansteuertabelle für D FF ct q q q + + q Sf fb D D q q ct 6 D : D = ct qq ct q q sf: q q D q = ct q 5 7 q 5 7 sf = q q ct ct

71 Digitaltechnik Prof. Dr. Dietz 7 fb: q q ct 6 fb = q q q q = q q e q q & D >C q > sf e > & J D K >C q = fb Bsp.: gleicher SWGraph wie Bsp. aber andere Zustandskodierung Realisierung mit T FlipFlops Kodierung nach Ausgabe: Zustand q q q

72 Digitaltechnik Prof. Dr. Dietz 7 SW Tabelle Zust ct q q q + q + q + q Sf fb T T T Synthesetabelle für T FlipFlop: T : Q Q + T q 5 4 q ct q T = q ct q ct q q q q ct T = ct q ct q

73 Digitaltechnik Prof. Dr. Dietz 7 T : q 5 4 q ct q fb: T = q ct q q 5 4 q ct q fb = q sf = q ctq q q >& & > T >C q fb & & > T >C q sf & > T q ct >C clock

74 Digitaltechnik Prof. Dr. Dietz Spezielle Schaltwerke 5.7. Zähler Grundfunktion des Zählers: Bei jedem Takt wird der nächste Zustand erreicht, so lange bis alle Zustände durchlaufen sind. Bezeichnungen: Einen Zähler mit m Zuständen nennt man einen Modulo m Zähler. Zähler mit n Zuständen nennt man nbit Zähler BitZähler (nur Grundfunktion): Bit Zähler, der auf und abwärts zählt (up down counter) up/down up down Funktion abwärts zählen aufwärts zählen

75 Digitaltechnik Prof. Dr. Dietz 74 Entwurf des BitZählers: binäre Zustandskodierung Zust. q q q SW Tabelle: q q q + q + q + q D D D T T T D = q D D T = T = q T = q q q q = q q = q q q q q q q = q q q q q & T >C q T >C q clock '' T >C q

76 Digitaltechnik Prof. Dr. Dietz 75 Erweiterung auf 4BitZähler: T = T = q T T = q q = q q q Asynchrone Zähler Abwärtszähler:... Clock '' T >C Q '' T Q >C '' T >C Q Aufwärtszähler: Clock '' T >C Q '' T Q >C '' T >C Q

77 Digitaltechnik Prof. Dr. Dietz 76 Typische Anwendung für asynchrone Zähler: Frequenzteiler (Ripple Counter) Bsp.: Modulo Zähler fertiger Baustein: 4BitZähler mit synchronen Reset SN >C R CNT Q Q Q Q reset = q q q q( Zust.9 wird decodiert) Mögliche Zusatzfunktionen: Reset (synchron oder asynchron) up / down Enable (Halten) Load (synchron oder asynchron) (zusätzliche Dateneingänge) Bsp.: Entwurf des ModuloZählers mit Enable Zusatzfunktion EN Funktion halten aufwärts zählen EN Zust.

78 Digitaltechnik Prof. Dr. Dietz 77 Zust. q q SW Tabelle: EN q q + q + q J K J K D D JKFlipFlops J : q K : J : q q q J J = q q q 5 7 EN K = EN = EN q EN EN EN

79 Digitaltechnik Prof. Dr. Dietz 78 K : q q 5 7 EN K = EN 4 6 EN q q & J K >C & J K >C Clock 5.7. Schieberegister Q (Q ) Q (Q ) Q (Q ) Q (Q ) SnIn D D D D >C >C >C >C Clock SnIn = Q Q Q Q linksschieben (rechtsschieben) Anwendung von seriellen Daten zu parallel liegenden Daten

80 Digitaltechnik Prof. Dr. Dietz 79 Symbole: >C/ SRG4 >C/ SRG4 D Q D Q Q Q Q Q Q Q Rechtsschieberegister Linksschieberegister Register mit normaler Funktion und mit Schiebe Funktion: SnIn D SN D SN D SN D >C >C >C >C Clock Load Anwendung: Sowohl seriell parallel Wandlung als auch parallel seriell Wandlung fertiger Baustein: 74LS94A ladbares 4 Bit Links Rechts Schieberegister Rechtsschieben (6) SerIn = Lindsschieben (6) SerIn = () Division durch () Multiplikation mit

81 Digitaltechnik Prof. Dr. Dietz 8 Ringschieberegister (Umlaufregister) >C/ SRG4 D Q Q Q Q () () () () () Modulo 4Zähler JohnsonZähler = switched tail counter >C/ SRG4 D Q Q Q Q Bsp.: Modulo8Zähler

82 Digitaltechnik Prof. Dr. Dietz Schaltwerksvarianten 5.8. Synchronisation der Eingangsvariablen Bsp.: ModuloZähler mit Enable EN q q + q + q D D D D = q EN q EN = q EN EN q q EN q q D SN D D Q >C D SN D D Q EN >C Clock Clock EN Q Q Pseudo Zustand D D Änderung wird übenommen Änderung wird nicht übernommen

83 Digitaltechnik Prof. Dr. Dietz 8 Variante mit Synchronisation der Eingangsvariablen: D SN D D Q >C EN D ENsynch. D SN D D Q >C >C Clock Vorteile: Fehlfunktion ausgeschlossen Nachteile: mehr Hardwareaufwand für SynchronistationsFlipFlops Reaktion um einen Takt verlangsamt 5.8. Moore Schaltwerk mit Synchronisation der Ausgangsvariablen (symmetrische Moore Struktur) f(q,e) E Clock ÜSN Zustandsspeic her > ASN f(q) A

84 Digitaltechnik Prof. Dr. Dietz 8 Idee: Ausgabe SN für Folgezustand ASN + = f(q + ) = f(q,e) E ÜSN Zustandsspeic her > Q Clock ASN + A + Zustandsspeic her > A Vorteile: Nachteile: Ausgabevariablen taktsynchron Schnellere Reaktion am Ausgang ASN + Schaltnetz aufwendiger als ASN SN Hardware Aufwand für Ausgaberegister (Kodierung nach Ausgabe kann dies wieder reduzieren)

85 SS

86 Digitaltechnik Prof. Dr. Dietz 6. Die Hardwarebeschreibungssprache VHDL 6. Allgemeines zu VHDL HDL = hardware descripion language andere HDL s: Verilog, AHDL,... VHDL V: very high speed integrated circuit 987 IEEE IEEE Package IEEE 64 VHDL Syntax angelehnt an Programmiersprache PASCAL 6. Beschreibung einer Schaltungsstrucktur Bsp.: Volladdierer a b ci S S co Gate a b = a n b n h Gate = S & an b n & ci Gate Gate4 h Gate5 h > co ) Name festlegen: full_add Name und Typ der Eingangssignale: a, b, ci : binäre Signale Name und Typ der Ausgangssignale: ci, S : binäre Signale

87 Digitaltechnik Prof. Dr. Dietz ) Bibliothekselemente und deren Ein / Ausgänge spezifizieren UND: Eingänge in, in; Ausgang out XOR: Eingänge in, in; Ausgang out ODER: Eingänge in, in; Ausgang out ) Netzliste erstellen a) interne Signale definieren: h, h, h (Typ binär) Komponenten mit Namen versehen b) Verbindungen auflisten: gate in Eingang a gate in Eingang a gate out Eingang a gate in Eingang a gate in Eingang a gate out Eingang a gate in Eingang a gate in Eingang a gate out Eingang a gate4 in Eingang a gate4 in Eingang a gate4 out Eingang a gate5 in Eingang a gate5 in Eingang a gate5 out Eingang a Begriffe: port: Eingang, bzw. Ausgang Attribut: in out Eingänge Ausgänge signal: internes Signal entity: Außenwirkung der Schaltung architecture: Innenaufbau einer Schaltung component: aufgerufene Teilschaltung, Bibliothekselement Beschreibung nach aussen entity full_add is port (a, b, ci : in std_logic; co, s std_logic); end full_add;

88 Digitaltechnik Prof. Dr. Dietz Beschreibung des inneren Aufbaus architecture arch of full_add is component UND port (in, in: in std_logic; out: out std_logic) ODER port (in, in: in std_logic; out: out std_logic) XOR port (in, in: in std_logic; out: out std_logic) signal h, h, h: std_logic; begin gate: UND port map (in => a; in => b; out => h); gate: XOR port map (in => b; in => a; out => h); gate5... end arch; Weitere Begriffe: Instanzierung: Aufruf einer Teilschaltung => Assoziations Operator nebenläufige Anweisungen (concurrent statements) Reihenfolge spielt keine Rolle Zum Vergleich: normale Programmiersprache kennt nur sequentielle Anweisung. 6. Verhaltensbeschreibung mit Boolschen Gleichungen Innenleben einer der Komponenten entity UND is port (in, in: in std_logic, out: out std_logic); end; architecture arch of UND is begin out <= in and in; end arch; <= Zuweisungsoperator weitere Boolsche Operatoren: and: or : XOR, XNOR, not, NAND, NOR y = x x x x4 y <= (x and x) or (x and not x4);

89 Digitaltechnik Prof. Dr. Dietz 4 Umdruck Version.c) Beschreibung ohne Zwischenspeicher architecture archc of full_add begin s <= (a and b) xor ci; co <= (a and b) or ((a xor b) and ci); end; Zuweisungen: Nebenläufige Anweisungen. Reihenfolge spielt keine Rolle. 6.4 Verhaltensbeschreibung mit sequentiellen Anweisungen. Art von nebenläufigen Anweisungen: Prozess Sinn und Zweck: innerhalb des Prozesses stehen sequentielle Anweisungen zur Verfügung. (Bsp.: case Anweisung, if Anweisung Variablendefinition) Syntax: process (Liste von Signalen) sensitivity list enthält alle Signale, begin sequentielle Statements bei deren Änderung der Prozess end process; neu abgearbeitet werden muss..a) case Statement: case Signal in when Fall => when Fall when others => end case; signal q: std_logic_vector ( downto ); signal a: std_logic; q <= ;... => s <= ; co <= ; a <= ; => (s, co) <= ;.b). Sequentielle Statement: if Statement if, boolscher Ausdruck, then, Anweisung, else, Anweisung, elsif, boolscher Ausdruck, endif; einfachster Fall if, boolscher Ausdruck, then,, endif;

90 Digitaltechnik Prof. Dr. Dietz Kombinatorische Logik x x x y y process (x,x,x ) begin case (x,x,x ) is when => (y,y ) <= ; siehe Umdruck 6.6 Sequentielle Logik Neuer Konstrukt: signal event Bsp.: Signal clock If (clock event) then... (Boolscher Ausdruck) Ereignis = Signaländerung D D Q Clock >C entity... architecture... process (clock) begin if (clock event and clock = ) then Q <= D; endif; end process; end;

91 Digitaltechnik Prof. Dr. Dietz 6. siehe Umdruck q ÜSN q_ns q_out reset Clock >. data... q_out... reset Clock > Bsp.: e Zust. a,a links rechts halt Zustandskodierung Zust. q q links halt rechts SW Tabelle: siehe Umdruck Nr. E ÜSN q + q ASN A Clock

92 Digitaltechnik Prof. Dr. Dietz Beschreibung von Zählern q_ns <= q+ ; geht so nicht! Addition für std_logic_vector nicht definiert! Bsp.: 4_Bit std_logic_vector ( downto ) std_logic_vector unsigned signed usigned = dezimale Interpretation ohne Vorzeichenstelle signed = dezimale Interpretation mit Vorzeichenstelle Bsp.: 8 Bit Zähler entity counter is port (clock: in std_logic; q_out std_logic_vector (7 downto )); end counter; architecture arch of counter is signal q, y_ns: unsigned (7 downto ); begin process (clock) begin if (clock event and clock = ) then q <= q_ns; endif; end;

93 Digitaltechnik Prof. Dr. Dietz 8 Überführungsschaltnetz q_ns <= q+; Anschluss der Ports q_ns <= std_logic_vector(q); end; 6.8 Testbench Testbench: VHDL Entity, die selbst keine Ports besitzt Testmuster Beobachtung der Ausänge entity Testbench is end testbench architecture arch of testbench is component dut(port...); signal... begin Instanzierung Generierung der Testmuster für Eingänge und Ausgänge Generierung der Testmuster a) Erzeugung eines Takts 5ns 5ns signal clk: std_logic process begin prozessstatement ohne sensitivity clk <= ; wait for 5ns; clk <= ; wait for 5ns; end process;

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Informatik I WS 07/08 Tutorium 24

Informatik I WS 07/08 Tutorium 24 Info I Tutorium 24 Informatik I WS 07/08 Tutorium 24 3.2.07 astian Molkenthin E-Mail: infotut@sunshine2k.de Web: http://infotut.sunshine2k.de Organisatorisches / Review is zum 2.2 müssen alle Praxisaufgaben

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird.

Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird. Zahlensysteme Definition: Ein polyadisches Zahlensystem mit der Basis B ist ein Zahlensystem, in dem eine Zahl x nach Potenzen von B zerlegt wird. In der Informatik spricht man auch von Stellenwertsystem,

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen

Zusammenfassung. Satz. 1 Seien F, G Boolesche Ausdrücke (in den Variablen x 1,..., x n ) 2 Seien f : B n B, g : B n B ihre Booleschen Funktionen Zusammenfassung Zusammenfassung der letzten LV Einführung in die Theoretische Informatik Woche 6 Harald Zankl Institut für Informatik @ UIBK Wintersemester 2014/2015 Satz 1 Seien F, G Boolesche Ausdrücke

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Grundlagen der Informatik I Informationsdarstellung

Grundlagen der Informatik I Informationsdarstellung Grundlagen der Informatik I Informationsdarstellung Einführung in die Informatik, Gumm, H.-P./Sommer, M. Themen der heutigen Veranstaltung. ASCIi Code 2. Zeichenketten 3. Logische Operationen 4. Zahlendarstellung

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Erfüllbarkeit und Allgemeingültigkeit

Erfüllbarkeit und Allgemeingültigkeit Theoretische Informatik: Logik, M. Lange, FB16, Uni Kassel: 3.3 Aussagenlogik Erfüllbarkeit 44 Erfüllbarkeit und Allgemeingültigkeit Def.: eine Formel ϕ heißt erfüllbar, wennesein I gibt, so dass I = ϕ

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Teil II. Schaltfunktionen

Teil II. Schaltfunktionen Teil II Schaltfunktionen 1 Teil II.1 Zahlendarstellung 2 b-adische Systeme Sei b IN mit b > 1 und E b = {0, 1,..., b 1} (Alphabet). Dann ist jede Fixpunktzahl z (mit n Vorkomma und k Nachkommastellen)

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Diana Lange. Generative Gestaltung Operatoren

Diana Lange. Generative Gestaltung Operatoren Diana Lange Generative Gestaltung Operatoren Begriffserklärung Verknüpfungsvorschrift im Rahmen logischer Kalküle. Quelle: google Operatoren sind Zeichen, die mit einer bestimmten Bedeutung versehen sind.

Mehr

Einführung in die Algebra

Einführung in die Algebra Prof. Dr. H. Brenner Osnabrück SS 2009 Einführung in die Algebra Vorlesung 13 Einheiten Definition 13.1. Ein Element u in einem Ring R heißt Einheit, wenn es ein Element v R gibt mit uv = vu = 1. DasElementv

Mehr

Grundlagen der Informatik 2 Grundlagen der Digitaltechnik. 1. Zahlensysteme

Grundlagen der Informatik 2 Grundlagen der Digitaltechnik. 1. Zahlensysteme Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 1. Zahlensysteme Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen der Digitaltechnik

Mehr

Kapitel 3. Codierung von Text (ASCII-Code, Unicode)

Kapitel 3. Codierung von Text (ASCII-Code, Unicode) Kapitel 3 Codierung von Text (ASCII-Code, Unicode) 1 Kapitel 3 Codierung von Text 1. Einleitung 2. ASCII-Code 3. Unicode 2 1. Einleitung Ein digitaler Rechner muss jede Information als eine Folge von 0

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Binär Codierte Dezimalzahlen (BCD-Code)

Binär Codierte Dezimalzahlen (BCD-Code) http://www.reiner-tolksdorf.de/tab/bcd_code.html Hier geht es zur Startseite der Homepage Binär Codierte Dezimalzahlen (BCD-) zum 8-4-2-1- zum Aiken- zum Exeß-3- zum Gray- zum 2-4-2-1- 57 zum 2-4-2-1-

Mehr

1. Stellenwerte im Dualsystem

1. Stellenwerte im Dualsystem 1. a) Definitionen Stellenwertsystem Ein Zahlensystem bei dem der Wert einer Ziffer innerhalb einer Ziffernfolge von ihrer Stelle abhängt, wird Stellenwertsystem genannt. Die Stellenwerte sind also ganzzahlige

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Communication Systems Group (CSG) Prof. Dr. Burkhard Stiller, Universität Zürich, Binzmühlestrasse 14, CH-8050 Zürich Telefon: +41 44 635 6710, Fax: +41 44 635 6809, stiller@ifi.uzh.ch Fabio Hecht, Telefon:

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

1 Einführung. 1.1 Analog - Digital Unterscheidung

1 Einführung. 1.1 Analog - Digital Unterscheidung 1 Einführung Was ist eigentlich Digitaltechnik? Wird der Begriff Digitaltechnik getrennt, so ergeben sich die Worte DIGITAL und TECHNIK. Digital kommt von digitus (lat. der Finger) und deutet darauf hin,

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Programmiersprachen und Übersetzer

Programmiersprachen und Übersetzer Programmiersprachen und Übersetzer Sommersemester 2010 19. April 2010 Theoretische Grundlagen Problem Wie kann man eine unendliche Menge von (syntaktisch) korrekten Programmen definieren? Lösung Wie auch

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

2 Darstellung von Zahlen und Zeichen

2 Darstellung von Zahlen und Zeichen 2.1 Analoge und digitale Darstellung von Werten 79 2 Darstellung von Zahlen und Zeichen Computer- bzw. Prozessorsysteme führen Transformationen durch, die Eingaben X auf Ausgaben Y abbilden, d.h. Y = f

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr