Aufbau logischer Gatter

Größe: px
Ab Seite anzeigen:

Download "Aufbau logischer Gatter"

Transkript

1 Aufbau logischer Gatter Vom Feldeffekt-Transistor zum Supercomputer

2 Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequenzielle Logikzellen weitere Logikfamilien 2

3 Der Feldeffekt-Transistor hat 3 Anschlüsse: Gate, Drain, Source funktioniert bei richtiger Auslegung wie ein Schalter ist aber bei genauerer Betrachtung eigentlich ein analoges Bauelement analoge Zustandsübergänge (Schaltflanken) begrenzte Schaltzeiten Einschwingen und Überschwingen, etc. 3

4 Schaltvorgang: Ideal & Realität Idealisierung Realität 4

5 n-kanal Enhancement MOSFET S G D D D G B G n n U GS S S 5 B p bei U GS = 0 kein Stromfluß => selbstsperrend. bei U GS > U th > 0 Stromfluß von D nach S (U th Schwellspannung)

6 Was passiert im FET? S G D n n p B pn-übergang: Gleichgewicht der Kräfte auf Elektron elektr. Kraft (zum Kern) Gitterkraft (zum Loch) (thermodyn. Vorgänge, stark temperaturabh.!) U GS bewirkt E-Feld (= zusätzl. elektr. Kraft auf Elektronen) und verschiebt dadurch Gleichgewicht. Bei U GS = U th sind die Löcher im p-si gefüllt; Elektronen können den Kanal zwischen D und S passieren. 6

7 n-kanal FET: Eingangskennlinie FET sperrt FET leitet G D S Schwellspannung Uth u GS [V] 7 A

8 Dimensionierung Schwellspannung U th Grenzwert der Spannung zwischen Schalter geschlossen und Schalter offen Einstellbar über Dotierung Ausgangsstrom I DSS Maximaler Strom, den der FET bei Schalter geschlossen führen kann Einstellbar über Verhältnis von Kanallänge L zu Kanalbreite W: I DSS W/L ( Formfaktor ) 8

9 9 Formfaktor Quotient aus Kanalbreite W und Kanallänge L T OX bestimmt Sättigungsstrom des Schalters Erlaubt Einstellen der Treiberstärke höhere Treiberstärke als X (X2, X4, X8) (X entspricht dem einfachen Inverter) Angleich p-kanal / n-kanal (Mobilität d. Löcher schlechter => ca. Faktor 2) Optimierung nach Performance / Fläche L W

10 Modell n-kanal FET drain gate source bei logisch ist der Schalter geschlossen = on bei logisch 0 ist der Schalter offen 0 = off 0 A

11 FET-Grundschaltung Sourceschaltung VCC R Gleichungssystem: U A = VCC R. I D I D = K [2(U GS -U th )U DS -U DS2 ] U DS = U A U GS = U E "" = VCC G U E = U GS D S U A 0V Steuerspannung U GS nur durch Eingangsspannung bestimmt Spannung wird invertiert

12 FET-Grundschaltung 2 Sourcefolger Gleichungssystem: VCC G D U A = R. I D I D = K (U GS -U th ) 2 U GS = U E - U A UGS U E = +5V R S U A = U E -U GS Ausgangsspannung U A vermindert verfügbare Steuerspannung U GS! Ausgangsspannung U A ist stets kleiner als Eingangsspannung 2

13 Vergleich der Schaltungen 5 4,5 4 3,5 weak Sourceschaltung Ausgangsspannung 3 2,5 2,5 Sourcefolger 0,5 strong Last (kohm) 0, 0,0 3 A

14 Starke und schwache Pegel +5V R "" = +5V G U E = U GS D S U A 0V U E = +5V R U A = U E - U GS 4 U GS ist nur durch U E bestimmt, unabh. von U A U GS sinkt wenn U A steigt => FET-Schalter öffnet! Schalter abh. v. Ausgang

15 Modell p-kanal FET source umgekehrt wie bei n-kanal FET! gate drain bei logisch ist der Schalter offen = off bei logisch 0 ist der Schalter geschlossen 0 = on 5

16 Vorteil komplementärer FETs n-kanal FET kann logisch 0 aktiv treiben (strong 0), logisch nur sehr schwach (weak ) p-kanal FET kann logisch aktiv treiben (strong ), logisch 0 nur sehr schwach (weak 0) Durch Kombination kann man beide logischen Pegel aktiv treiben 6

17 CMOS-Logik n-kanal FET und p-kanal-fet nennt man zueinander komplementär. es werden MOSFET-Transistoren verwendet (Metall/Oxid/Semiconductor) Complementary MOSFET CMOS CMOS treibt beide Logikpegel aktiv. In CMOS lassen sich logische Funktionen besonders effizient implementieren. 7

18 Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequenzielle Logikzellen weitere Logikfamilien 8

19 Der CMOS-Inverter: Funktion am Eingang: 0 am Eingang: p-fet offen n-fet geschl. 0 V DD = 0 = GND 0 p-fet geschl. n-fet offen 0 0 V DD = = GND V DD A F = A F 9 GND

20 CMOS-Inverter: Kennlinie u a p-fet leitet Die Funktion des Inverters ist im Grunde analog: Für einen Eingangspegel zwischen HI und LO kann sich ein Ausgangspegel zwischen LO und HI ergeben n-fet leitet u e 20

21 CMOS-Inverter: Technologie V DD V DD A F P-FET S G D p p n P-FET GND V in V out D n p V in N-FET V out N-FET G S n 2

22 Aufbau eines CMOS-NAND2 + V DD + V DD + V DD M M2 P-FET M M2 M M2 AB A M3 M3 V out = V DD M3 V out = 0 B M4 N-FET M4 M4 A=, B=0 A=, B= p-fets parallel 0 an A oder B für Y = n-fets in Serie an A und B für Y = 0 22

23 Aufbau eines CMOS-NOR3 V DD A B C p-fets in Serie 0 an A, B und C für Y = n-fets parallel an A, B oder C für Y = 0 23

24 CMOS-Buffer Falsch N & P-FET vertauscht V DD Richtig 2 Inverter in Serie V DD N-FET P-FET Nur schwache Pegel! 24A GND GND 2-stufige Schaltung!

25 CMOS-Gatter: allg. Aufbau Der p-stack wird aus p-fets gebildet schaltet den Ausgang auf "" VDD p-stack Der n-stack wird aus n-fets gebildet schaltet den Ausgang auf "0" in n-stack GND out 25

26 Tri-State-Ausgang p-stk n-stk Ausgang ein aus aus ein 0 aus aus Tri-state ein ein Kurzschluß in VDD p-stack erlaubt Abschalten des Ausgangs über einen Steuereingang output enable (OE). Vorteil: erlaubt mehrere Treiber an einem Bus 26A n-stack GND

27 Tri-State Bus: Probleme Bus-Contention: auf einer Leitung ist zu einem Zeitpunkt mehr als ein Treiber aktiv => hohe Ströme, Pegel undefiniert Floating Bus: auf einer Leitung ist kein Treiber aktiv => Pegel undefiniert Bus-Keeper (bus friendly Logic): FF aus antiparallelen Invertern hält den letzten Zustand, kann aber leicht overruled werden (schwache Treiberstärke) 27

28 Open-Drain Ausgang (OD) 28A Der (aktive) p-stack wird weggelassen. An seiner Stelle wird extern ein Widerstand verwendet. Ausgang "0" wird weiterhin durch den n-stack erzwungen. Es sind auch größere Ströme zulässig. Ausgang "" wird bei offenem n-stack durch den Widerstand in (schwach) hergestellt: Bei größeren Strömen bricht die Spannung ein. VDD p-stack n-stack GND out

29 Prinzip des Wired AND VDD aus Kombination mehrerer OD-Ausgänge an gemeinsamem Widerstand Y=A B... K A B... K "" = N-Stack offen GND 29

30 Aktiver Ausgang VDD p-stk n-stk Ausgang ein aus aus ein 0 aus aus Tri-state ein ein Kurzschluß in p-stack n-stack GND 30A

31 n-stack: Aufbau Ein AND-Term wird durch Serienschaltung von FETs bzw. Blöcken realisiert, ein OR-Term durch Parallelschaltung. Durch geeignete Kombination lassen sich beliebige Boolsche Verknüpfungen realisieren, allerdings mit folgenden Einschränkungen: Da der n-stack genau dann durchschalten soll, wenn die Zielfunktion "0" ist, läßt sich nur eine AND/OR Verknüpfung mit Inversion am Schluß realisieren. Da die n-fets jeweils bei "" am Eingang durchschalten, kann man also nicht mit invertierten Eingängen arbeiten. 3

32 p-stack: Aufbau Ein AND-Term wird auch hier wieder durch Serienschaltung von FETs bzw. Blöcken realisiert, ein OR-Term durch Parallelschaltung. Für die Zielfunktion gelten folgende Einschränkungen: Da der p-stack genau dann durchschalten soll, wenn die Zielfunktion "" ist, darf die Zielfunktion keine Inversion am Schluß haben. Da die p-fets jeweils bei "0" am Eingang durchschalten, kann man also nur mit invertierten Eingängen arbeiten. 32

33 Lösung der Widersprüche n-stack Inversion am Ende nicht-invertierte Eingänge De Morgan p-stack F(X, X2, X3,... Xn,, ) = F( X, X2, X3,... Xn,, ) keine Inversion am Ende nur invertierte Eingänge 33A

34 Entwurfsregeln im Überblick Durch Kombination aus Serien- und Parallelschaltung lassen sich auch komplexere Funktionen als NAND und NOR realisieren: AND-OR-Invert bzw. OR-AND-Invert, In jedem Fall mit Inversion am Ausgang (wenn nötig extra Inverter nachschalten). In jedem Fall nicht invertierte Eingänge (wenn nötig extra Inverter vorschalten). In jedem Fall p-stack oben und n-stack unten. In jedem Fall p-stack dual zu n-stack. 34

35 AOI und OAI: Terminologie AND-OR-Invert AOI22 OR-AND-Invert OAI32 A B & >= z A B C >= & z C D & D E >= AOI22 E Beispiel AOI22 OAI32 F Beispiel OAI32 AOI- und OAI-Zellen sind sehr effizient durch Serien/Parallelschaltung von FETs realisierbar 35

36 Entwurfsregeln für AOI & OAI. Gleichung G entsprechend der Funktion aufstellen (Inversion am Schluß!) n-stack (strong 0 ) Inversion am Ausgang erfolgt automatisch 2. Inversion zu Eingängen transformieren: (De Morgan) => Gleichung G* p-stack (strong ) Inversion an d. Eingängen erfolgt automatisch wegen p-kanal-fet 3. In beiden Fällen gilt: AND = Serienschaltung OR = Parallelschaltung 36

37 Entwurfsbeispiel AOI22 A B C D >= >= & z A C B D P-Stack E E z A B & >= z E A B C D N-Stack C & D 37 E

38 Rechenbeispiel Alarmanlage: Innenkreis mit Bewegungsmelder B, aktivierbar über Schalter S Außenkreis mit 2 Türkontakten K und K2, aktivierbar über Schalter S2 Alle Schalter und Kontakte low-aktiv Auslösung d. Sirene über Signal AL (high-aktiv) Gesucht: Realisierung als AOI oder OAI 38

39 Umformungen AL = ( S B) ( S2 ( K K2)) AOI: AL = ( S B) ( S2 K) ( S2 K2) nicht invertierend => Inverter am Ausg. invertierte Eingänge => Inverter an allen Eing. OAI: AL = (S B) (S2 K) (S2 K2) Inversionen bereits an den richtigen Stellen => viel günstiger zu realisieren 39

40 Alarmanlage als OAI: n-stack AL = (S B) (S2 K) (S2 K2) n-stack: S parallel B S2 parallel K S2 parallel K2 alle Parallelelemente in Serie S2 S B K K2 40

41 Alarmanlage als OAI: p-stack AL = (S B) (S2 K) (S2 K2) AL = ( S B) ( S2 K) ( S2 K2) p-stack: S in Serie mit B S2 in Serie mit K S S2 S2 S2 in Serie mit K2 Alle Serienelemente parallel B K K2 4

42 Alarmanlage als OAI: Lösung S S2 S2 p-stack: S + B, S2 + K, S2 + K2, alle parallel B S K B K2 AL n-stack: S par B, S2 par K, S2 par K2, alle in Serie S2 K K2 42

43 Transmission-Gate (TG) S A Z Funktion: Schaltbare Verbindung zwischen zwei Leitungen ( offen = echte Trennung, keine Maskierung) A A S=0 S= S Z Z 43 Realisierung: n-kanal FET und p-kanal FET parallel (strong und strong 0!) S A Z S

44 Multiplexer (Mux) 0 G 0 Funktion: Von mehreren (n) Eingangssignalen wird über einen Steuereingang eines ausgewählt und an den Ausgang durchgeschaltet. Realisierung: eines von n Transmission Gates wird aktiviert Kombinatorische Verknüpfung: 2: Mux als OAI22 + Inverter 4: Mux als OAI Inverter 0 44

45 Multiplexer-Realisierungen TG (3GE) A S Z B OAI (3GE) A S B >= >= & Z 45

46 Exklusiv-ODER (XOR) Funktion: logische Verknüpfung Y = (A B) ( A B) Realisierung: Multiplexer: B am Select-Eingang wählt zwischen A und A Kombinatorische Verknüpfung: AOI2 + NOR Y = (A B) ( (A B)) 46

47 XOR-Realisierungen TG (3GE) A B Y A AOI (2.5GE) A & >= Y >= B 47

48 Getakteter Inverter Funktion: Wie Transmission Gate, aber Signal wird invertiert Takt als Steuersignal (S) Realisierung: Serienschaltung Inverter + Transmission Gate Dabei läßt sich eine Verbindung einsparen (siehe nächste Folie) Anwendung: bei Latch und Flip-Flop 48

49 Getakteter Inv.: Realisierung CLKN A Z V DD CLKP V DD A CLKN Z CLKN CLKP Z A CLKP 49

50 Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequenzielle Logikzellen weitere Logikfamilien 50

51 Latch D EN Funktion: (positive enable) Eingänge D (Data) und EN (Enable), Ausgang Q Transparent: D wird direkt auf Q abgebildet Hold: letzter Zustand von Q wird eingefroren Realisierung: Ausgang Q wird entweder von D angesteuert (transparent) oder von sich selbst (Rückkopplung). Umschaltung mittels Multiplexer aus zwei TGs 5

52 Funktionsmodell eines Latch transparent hold D Q D Q EN D Q EN D Q 52

53 Wiederholung Grenzen der Geschwindigkeit Wellenausbreitung Information kann sich niemals schneller als mit Lichtgeschwindigkeit ausbreiten. (ca. 20cm/ns) Ladevorgänge Das Laden von Kapazitäten mit begrenztem Strom beansprucht Zeit. (τ = RC) Bewegung der Ladungsträger Bewegung/Diffusion von Ladungsträgern im Halbleiter erfolgt nur mit begrenzter Geschwindigkeit. (Sättigungswert bei Si typ. 0, mm/ns) 53

54 Setup- und Hold-Time Eine Änderung am Eingang muss die Rückkopplungsschleife vollständig durchlaufen UND Die TGs müssen umgeschalten werden Diese Vorgänge brauchen Zeit: Decision Window (= SetupTime + Hold-Time) Innerhalb dieses Decision Window dürfen keine Flanken am Eingang auftreten (Metastabilität!) 54

55 Realisierung eines Latch CLKN D QN CLKP CLKP Q CLKN CLK CLKP CLKN Aufwand: 7 Inv. + 2 TGs = 8 Trans = 4.5 GE 55

56 D-Flip-Flop D Funktion: Eingänge D (Data) und CLK (Clock), Ausgang Q Der Zustand von D wird jeweils mit der aktiven Flanke auf Q übernommen und eingefroren. Realisierung: CLK zwei Latches in Master/Slave-Schaltung 56

57 Funktionsmodell eines Flip-Flop CLK = 0 load master hold slave D M S Q CLK = hold master load slave D M S Q 57

58 Decision Window beim Flip-Flop load master load slave load master load slave CLK t SU 50% D M t H decision window Q t PD t 58

59 Realisierung eines Flip-Flop CLKP M a s t e r CLKN S l a v e D Q CLKN CLKN CLKP CLKP Q N 59A CLKP CLKN Je Latch für Master und Slave Taktversorgung gemeinsam Eingangs- und Ausgangsbuffer gemeinsam CLKN CLK CLKP

60 Flip-Flop: Schaltungsaufwand 2 Latches entsprechen 36 Trans. = 9 GE, aber durch folgende Einsparungen Taktversorgung nur einmal (2 Inv.) Bufferung am Ausgang nur einmal (2 Inv.) Buffer am D-Eingang des Slave entfällt ( Inv.) ergibt sich ein Aufwand von 9 Inv. + 4 TGs = 26 Trans. = 6.5 GE 60

61 Weiteres Einsparpotential CLKN Treiberstärke einstellbar über W/L starker Treiber CLKP CLKP TG läßt sich einsparen CLKN schwacher Treiber 6 A

62 Flip-Flop: Implementierung V DD CLK 8/.8 D 0/.8 0/.8 t6 t5 8/.8 t8 t7 6/.8 0/.8 t4 t3 0/.8 8/.8 t6 t5 6/.8 8/.8 t20 t9 6/.8 Q t2 t 6/.8 6/.8 t4 6/.8 t3 4.5/6.7 t0 t9 4.5/3.6 6/.8 t2 t 6/.8 4.5/6.7 t8 t7 4.5/3.6 V SS 62A

63 Realisierung eines Flip-Flop CLKP CLKN D Q N CLKN CLKN CLKP CLKP Q N CLKP CLKN CLKN CLKP 63A CLK Inverter für CLK & Q 2 Speicherschleifen: TGs eingespart (Treiberstärke) TGs jeweils am Eingang Buffer eingespart (definierte Verhältnisse)

64 Setup/Hold bei anderen FFs? Bei allen Typen von Flip-Flops und Latches gibt es die Setup/Hold-Problematik (wenn auch in unterschiedlicher Ausprägung) Beim SR-Latch kann z.b. kann es zu Metastabilität kommen durch einen zu kurzer Puls an S bzw. R, oder die "gleichzeitige" (= zu rasch aufeinanderfolgende) Deaktivierung von S und R Es gibt kein Patentrezept gegen Metastabilität. 64

65 Register Ein Register ist ein Array von Flip-Flops. Ein 6-bit Register ist also ein Array aus 6 D-Flip-Flops mit gemeinsamem Takt mit gemeinsamem Clear, Enable, etc. Ein- und Ausgänge sind typischerweise zu Bussen zusammengefasst (Daten, Adressen) 65

66 Realisierung eines Speichers Flip-Flops: SRAM (siehe später): DRAM (siehe später): ca. 20 Transistoren/Bit 6 Transistoren/Bit Transistor/Bit (+ Kondensator) Realisierung größerer Speicher mittels Flip-Flops ist extrem ineffizient. unbedingt mittels RAM-Blöcken aus der Library realisieren.! 66

67 Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequentielle Logikzellen weitere Logikfamilien 7

68 Weitere MOS-Logikfamilien NMOS nur n-kanal FETs V DD PMOS nur p-kanal-fets V DD Nachteil: weak e = a Nachteil: weak 0 e a = 72 Vorteil: Fertigung billiger (weniger Masken) Nachteil: statischer Stromverbrauch

69 Der FET als Widerstand Integration von Widerständen ist schwierig (Kohle, Metallfilm?) Bei geeigneter Auslegung verhält sich FET in guter Näherung wie Widerstand Widerstand einstellbar über Formfaktor W/L Realisierung v. Widerständen dch FETs 73

70 Bipolare Logikfamilien: TTL TTL (Transistor-Transistor-Logic) Prinzip: Logische Verknüpfungen über Dioden- Netzwerke bzw. Transistor-Schalter sehr ähnlich wie bei CMOS, aber mit Bipolar- Transistoren statt FETs verbraucht im Ruhezustand mehr Energie weitgehend kompatibel zu CMOS weitgehend von CMOS abgelöst legendäre 74xx-Serie (Sylvania 963) 74

71 Bipolare Logikfamilien: ECL ECL (Emitter-Coupled Logic) Prinzip: Umschalten von Strompfaden in Differenzverstärkern (mit Bipolartransistoren) Wenig Spannungshub, keine Sättigung, daher extrem schnell Extrem hoher Leistungsverbrauch Weit verbreitete 0K und 00K-Familien Nicht kompatibel zu CMOS und TTL Anwendung: Glasfaser-Interface, ATM 75

72 Bi-CMOS Logik... BIpolar und CMOS gemischt Schaltung hauptsächlich in CMOS realisiert, aber Bipolar-Transistoren sind für höhere Ströme geeignet, daher für die Ausgangsstufen (Treiber) verwendet, z.t für direkte Ansteuerung von kleineren Motoren o.ä. Mischen der Technologien macht Fertigung komplizierter und daher teurer 76

73 Zusammenfassung () Grundelement der digitalen Logik ist der Enhancement-FET, wobei bei CMOS der n-kanal-typ und der p-kanal komplementär zum Einsatz kommen. Die wichtigsten Parameter des FET sind Schwellspannung und Ausgangsstrom (bzw. Formfaktor) Im Idealfall verhält sich ein FET wie ein Schalter: der n-kanal-fet schließt bei am Steuereingang, der p-kanal-fet bei 0. Die Idealisierung als Schalter funktioniert nur unter geeigneten Randbedingungen. Bei genauerer Betrachtung (im Zeit oder Amplitudenbereich) verhält sich der FET wie ein analoges Bauelement. 77

74 Zusammenfassung (2) Der Inverter ist die Grundstruktur aller Logikfunktionen. Er lässt sich technologisch einfach implementieren. Ersetzt man die beiden Einzeltransistoren durch einen sog. n-stack bzw. p-stack, so lassen sich bei geeigneter Abstimmung allgemeine logische Funktionen wie AOI und OAI implementieren, sowie als Sonderfälle auch NAND und NOR. Nicht invertierende Funktionen können in CMOS nicht einstufig realisiert werden. Weitere typische Elemente sind Transmission Gate, Multiplexer und getakteter Inverter. 78

75 Zusammenfassung (3) Mittels getakteter Inverter kann ein Latch realisiert werden, durch Master/Slave Kombination zweier Latches ein Flip-Flop. Aufgrund der Einschwingzeit der Datenpfade (und insbesondere der Speicherschleife) darf innerhalb des Decision-Window (Summe aus Setup- und Hold-Time) keine Änderung der Daten erfolgen, sonst kann Metastabilität auftreten. Die Realisierung eines ganzen Speichers mittels Flip-Flop oder Latch ist sehr ungünstig, effizienter sind hier SRAM oder DRAM. 79

76 Zusammenfassung (4) Neben dem komplementären Ausgang gibt es den Tri-State Ausgang sowie den Open Drain Ausgang. Die CMOS-Technologie ist derzeit am weitesten verbreitet, in besonderen Anwendungen findet man jedoch auch bipolare Logikfamilien wie TTL oder ECL, oder auch Bi-CMOS (für hohe Treiberleistung). 80

Aufbau logischer Gatter

Aufbau logischer Gatter Aufbau logischer Gatter Vom Feldeffekt-Transistor zum Supercomputer 1 Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequentielle Logikzellen Datenpfadelemente

Mehr

Aufbau logischer Gatter

Aufbau logischer Gatter Aufbau logischer Gatter Vom Feldeffekt-Transistor zum Supercomputer Überblick Was ist CMOS? Feldeffekt-Transistor & CMOS-Prozess kombinatorische Logikzellen sequenzielle Logikzellen weitere Logikfamilien

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 12. Vorlesung Dr.-Ing. Wolfgang Heenes 6. Juli 2010 TechnischeUniversität Darmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Logikfamilien 2. Die Ausgangsstufen

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 3 - Diskrete Transistoren Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Organisatorisches Wie funktioniert ein MOSFET? Was

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Logikausgang Grundschaltungen in CMOS-Technik

Logikausgang Grundschaltungen in CMOS-Technik Logikausgang Grundschaltungen in CMOS-Technik X Liers - PEG-Vorlesung WS00/0 - Institut für Informatik - FU Berlin 49 Logikausgang Grundschaltungen CS INV in CMOS-Technik (Tristate) Transistor leitet X

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166 1 Der kleine Unterschied... analoge und digitale Signale 13 2 Ein paar technische Grundlagen sind für die Digitaltechnik wichtig 20 2.1 Das ohmsche Gesetz und was dahintersteckt 20 A Strom, Spannung, Leistung

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Eine Möglichkeit: Latch als Speicherzelle

Eine Möglichkeit: Latch als Speicherzelle SRAM Eine Möglichkeit: Latch als Speicherzelle Man könnte ein Latch z.b. aus Gated Invertern benutzen Diese Zelle benötigt 4 Steuerleitungen (LD, RD und Inverse), einen Bus, 2 Versorgungen Viele Leitungen

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Prof. aitinger / Lammert esprechung: 29.01.2001 S I ufgabe 1 MOS-Widerstände bb_dummy: 1.0 a) Zeichnen Sie einen Querschnitt durch einen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 9. Vorlesung Dr.-Ing. Wolfgang Heenes 15. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Der Feldeffekt 2. Feldeffekttransistoren

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Grundlagen der VLSI-Technik

Grundlagen der VLSI-Technik Grundlagen der VLSI-Technik VLSI-Systeme I Prof. Dr. Dirk Timmermann Institut für Angewandte Mikroelektronik und Datentechnik Fakultät für Informatik und Elektrotechnik Universität Rostock Vorteile der

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 26.07.2012 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 2 3 4 5 6 Punkte 15 12 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Inhaltsverzeichnis.

Inhaltsverzeichnis. Inhaltsverzeichnis Vorwort 11 1.0 Allgemeine Grundlagen 13 1.1 Die unterschiedlichen Abstraktionsebenen 13 1.2 Beschreibungsmöglichkeiten 18 1.3 Keine Superposition bei nichtlinearen Schaltungen 23 1.4

Mehr

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2 Einführung in CMOS-Technologie Kapitel 7.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Abstraktionsebenen SYSTEM-Ebene + MODUL-/RT-Ebene (Register-Transfer) Logik-/GATTER-Ebene

Mehr

Grundlagen der Digitalen Elektronik

Grundlagen der Digitalen Elektronik Kapitel 1 Grundlagen der Digitalen Elektronik 1.1 Logische Grundverknüpfungen bei historischer Logik Am Beispiel einiger logischer Grundschaltungen lassen sich die logischen Grundverknüpfungen einfach

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Großintegrationstechnik

Großintegrationstechnik Großintegrationstechnik TeiM: Vom Transistor zur Grundschaltung von Prof. Dr.-Ing. Karl Goser Hüthig Buch Verlag Heidelberg INHALTSVERZEICHNIS u:? -- t 0. Einführung l 0.1 Die Mikroelektronik als Basisinnovation

Mehr

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 9 Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK AUFGABE CMOS Beschreibung: Sei die Schaltfunktion f x 3, x 2, x, x 0 = x 0 x x

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

4. Feldeffekttransistor

4. Feldeffekttransistor 4. Feldeffekttransistor 4.1 Aufbau und Funktion eines Sperrschicht-FETs (J-FET) Eine ganz andere Halbleiterstruktur gegenüber dem Bipolartransistor weist der Feldeffektransistor auf. Hier wird ein dotierter

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Statischer Speicher - Schaltsymbol

Statischer Speicher - Schaltsymbol 12.3 SRAM Bernd Becker Technische Informatik II Statischer Speicher - Schaltsymbol SRAM A n D out W D in BB TI II 12.3/2 1 Ein N-Bit SRAM Sei n N, N = 2 n Ein N-Bit statischer Speicher oder SRAM (static

Mehr

Analoge und digitale Signale

Analoge und digitale Signale Analoge und digitale Signale Binär Erster binärer Zustand Zweiter binärer Zustand Schalter geschlossen Schalter geöffnet Impuls vorhanden Impuls nicht vorhanden Transistor leitend Transistor sperrt Spannung

Mehr

Übertragungskennlinien

Übertragungskennlinien Übertragungskennlinien für H- und L-Pegel für H- und L-Pegel NOT Funktion = /X Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 25 Übertragungskennlinien für H- und L-Pegel für H- und

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 4. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Wechselspannung Einfache Logische Verknüpfungen Logikschaltungen

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Montag, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Montag, den 17.02.2003 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.: Dieses

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer

Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017. NE555 IC Timer Eine Präsentation von Teoman Reimann Projektorientiertes Praktikum SS2017 NE555 IC Timer Gliederung Einführende Anwendung des NE555 Innere Verschaltung Wdh. Komparator Wdh. RS-Latch Erklärung seiner Funktion

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3 CMOS-Gatterschaltungen Kapitel 7.3 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design CMOS: Inverter-Schaltung VDD PMOS V in V out V in V out CL NMOS Der Inverter besteht aus zwei Transistoren,

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

1 DigitaleSchaltkreise

1 DigitaleSchaltkreise Nachrichtenverarbeitung 1 DigitaleSchaltkreise Von Prof. Dipl.-Ing. G. Schaller und Prof. Dipl.-Ing. W. Nüchel Fachhochschule Köln 2., neubearbeitete Auflage Mit 189 Bildern, 3 Tafeln, 32 Beispielen ä

Mehr

Ein- / Ausgabe- Ports

Ein- / Ausgabe- Ports Ein- / Ausgabe- Ports Alle vier parallelen Ports sind bidirektional und bestehen aus einem 8-Bit-Special-Function- Register für die Ausgabedaten, einem Ausgangstreiber und einem Eingabepuffer. Jeder Port-

Mehr

Page 1 of 13 Fenster schließen Digitaltechnik 1. Einige Grundlagen 1.1 Signalpegel 1.2 Logische Schaltglieder 1.2.1 UND / AND - Gatter 1.2.2 ODER / OR - Gatter 1.2.3 NICHT / NOT - Gatter 1.2.4 NICHT-UND

Mehr

Delton T. Hörn. Grundlagen der ELEKTRONIK. Übersetzt und bearbeitet von Alfred Eibimayr. Markt&Technik Verlag AG ^2/1.2*5(0*0

Delton T. Hörn. Grundlagen der ELEKTRONIK. Übersetzt und bearbeitet von Alfred Eibimayr. Markt&Technik Verlag AG ^2/1.2*5(0*0 ^2/1.2*5(0*0 Delton T. Hörn Grundlagen der ELEKTRONIK Übersetzt und bearbeitet von Alfred Eibimayr Markt&Technik Verlag AG Vorwort 11 1 Was ist Elektronik? 13 Elektronische Bauelemente 13 Basis-Schaltungen

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Kombinatorische Schaltungen

Kombinatorische Schaltungen Mathias Arbeiter 16. Juni 2006 Betreuer: Herr Bojarski Kombinatorische Schaltungen Elektrische Logigsysteme ohne Rückführung Inhaltsverzeichnis 1 Wirkungsweise von NAND-Gattern 3 2 logische Schaltungen

Mehr

6 Integrierte digitale Logikbausteine

6 Integrierte digitale Logikbausteine 6 Integrierte digitale Logikbausteine 6.1 Kennwerte der Integrationsdichte Die Komplexität einer Integrierten Schaltung (IC) wird außer mit der Transistoranzahl auch mit der Anzahl der logischen Gatter

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage VLSI-Entwurf Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage Mit 307 Bildern, 15 Tabellen, 14 Beispielen und 77 Aufgaben R. Oldenbourg Verlag München Wien 1996 Inhaltsverzeichnis

Mehr

Unipolar-Transistor, FET, MOSFET

Unipolar-Transistor, FET, MOSFET msw / Kern 01-2016 FET-Uebersicht 1/6 Unipolar-Transistor, FET, MOSFET Ueberblick und Kurzrepetition FET/MOSFET (vs. Bipolartransistor) Inhalt: - FET/MOSFET anschauliche Betrachtung anhand Modell - Begriffe

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 3. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Kapazität, Induktivität Halbleiter, Halbleiterdiode Wechselspannung

Mehr

Aufgaben zur Analogen Schaltungstechnik!

Aufgaben zur Analogen Schaltungstechnik! Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt Aufgaben Analoge Schaltungstechnik Prof. Dr. D. Ehrhardt 26.4.2017 Seite 1 Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Technische Informatik

Technische Informatik Springer-Lehrbuch Technische Informatik Übungsbuch zur Technischen Informatik 1 und 2 Bearbeitet von Wolfram Schiffmann, Robert Schmitz, Jürgen Weiland Neuausgabe 2004. Taschenbuch. x, 279 S. Paperback

Mehr

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 4., durchgesehene Auflage

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 4., durchgesehene Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. VLSI-Entwurf Modelle und Schaltungen von Professor Dr.-Ing. Kurt

Mehr

Transistorschaltungen

Transistorschaltungen Transistorschaltungen V DD in Volt 3 2 V Ein - UTh,P V Ein - UTh,N 1-1 0 1 2 3 U Th,P U Th,N V Ein in Volt a) Schaltung b) Übertragungsfunktion Bipolar Transistorschaltung im System I Ein C Ein? V CC I

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Schülerexperimente zur Elektronik

Schülerexperimente zur Elektronik Schülerexperimente zur Elektronik Walter Sova Diodenschaltungen 1) Welche Lämpchen leuchten jeweils bei den Schalterstellungen? 2) Für den Durchlassbereich eines bestimmten Diodentyps wurde die dargestellte

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 5. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Feldeffekttransistoren (FET) Logikschaltungen in CMOS-Technologie

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Institut für Mikrosystemtechnik. Prof. Dr. D. Ehrhardt. Bauelemente und Schaltungstechnik,

Institut für Mikrosystemtechnik. Prof. Dr. D. Ehrhardt. Bauelemente und Schaltungstechnik, Feldeffekttransistoren 1 JFET Sperrschicht - FET (Junction FET) Sperrschicht breitet sich mit Ansteuerung in den Kanal aus und sperrt diesen Es gibt zwei Arten n-kanal, p-kanal 2 JFET Schaltzeichen 3 Das

Mehr

Schaltungstechnik 1 (Wdh.)

Schaltungstechnik 1 (Wdh.) Grundlagenorientierungsprüfung für Elektro- und Informationstechnik Schaltungstechnik 1 (Wdh.) Univ.-Prof. Dr. techn. Josef A. Nossek Freitag, den 16.04.2010 9:00 10:30 Uhr Name: Vorname: Matrikel-Nr.:

Mehr

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von:

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von: Herzlich Willkommen Heutiges Thema: Von: Betreut von: Dr. Ivan Peric Seminar im SS_05 veranstaltet von: Fakultät für Mathematik und Informatik der Uni Mannheim Institut für Technische Informatik Lehrstuhl

Mehr

Elektronik-Grundlagen I Elektronische Bauelemente

Elektronik-Grundlagen I Elektronische Bauelemente Elektronik-Grundlagen I Elektronische Bauelemente - Einführung für Studierende der Universität Potsdam - H. T. Vierhaus BTU Cottbus Technische Informatik P-N-Übergang HL-Kristall, Einkristall p-dotiert

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung Überblick über uadratur-generation Oszillator A Oszillator B Roland Pfeiffer 15. Vorlesung Design einer uadratur-generation Ihr Chef stellt Ihnen die Aufgabe, ein Signal in zwei um 90 phasenversetzte Signale

Mehr

12.4. Herstellung und FET-Varianten

12.4. Herstellung und FET-Varianten 12.3.2. Einstellung der Einsatzspannung Die Einsatzspannung U E (bzw. V T ) kann variiert werden durch feste Ladungen im Gate-Oxid zwischen selbstleitend (enhancement, normally on) und selbstsperrend (depletion,

Mehr

Ausarbeitung: MOSFET

Ausarbeitung: MOSFET Ausarbeitung: MOSFET Inhaltverzeichnis: 1. Einleitung 2. Definition 3. Aufbau 4. Kennlinien 5. Anwendungen 6. Vor- & Nachteile 7. Quellen 1 1.Einleitung: Die erste begrifflich ähnliche MOSFET- Struktur

Mehr

Feldeffekttransistoren

Feldeffekttransistoren Feldeffekttransistoren ortrag im Rahmen des Seminars Halbleiterbauelemente on Thomas Strauß Gliederung Unterschiede FET zu normalen Transistoren FET Anwendungsgebiete und orteile Die Feldeffekttransistorenfamilie

Mehr

9. Kombinatorische Schaltungen

9. Kombinatorische Schaltungen 9. Kombinatorische Schaltungen Christoph Mahnke 15.06.2006 1 NAND-Gatter sowie der Eingangsstrom I E = f(u E ) Abbildung 1: Schaltsymbol NAND-Gatter Ein NAND-Gatter entspricht der logischen Verknüpfung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Passive Bauelemente, Grundgrößen

Passive Bauelemente, Grundgrößen Passive Bauelemente, Grundgrößen 1. Wie lauten die beiden wichtigsten Parameter eines ohmschen Widerstandes? 2. Wie lauten die beiden wichtigsten Parameter eines Kondensators? 3. Wie lauten die beiden

Mehr

Bipolartransistor- npn

Bipolartransistor- npn Transistor gesteuertes Bauelement (transfer resistor) durch eine angelegte Spannung oder elektrischen Stromsteuerbarer elektrischer Widerstand zum Schalten oder Verstärken von elektrischen Signalen bipolar

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

1 Grundlagen Digitaltechnik und Boolesche Algebra

1 Grundlagen Digitaltechnik und Boolesche Algebra Zahlendarstellungen Boolesche Logik Elementare digitale Grundschaltungen Digitale Speicherschaltungen WS 2010/11, 19.10.2010 Folie 1 1.1 Zahlendarstellung Darstellung einer Zahl d mit einer Länge von N

Mehr

Waldschmidt, K.: Schaltungen der Datenverarbeitung, Teubner, 1980, ISBN

Waldschmidt, K.: Schaltungen der Datenverarbeitung, Teubner, 1980, ISBN Computersysteme 2. Grundlagen digitaler Schaltungen 2.1 Boole sche Funktionen 2.2 Darstellung Boole scher Funktionen 2.3 Funktionen mit einer Eingabevariablen 2.4 Funktionen mit zwei Eingabevariablen 2.5

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Mikroprozessor - und Chiptechnologie

Mikroprozessor - und Chiptechnologie Mikroprozessor - und Chiptechnologie I 1 1 Halbleiterfunktionen 2 8 Halbleiterbauelemente 8 Halbleiterbauelemente 8.1 Grundlagen 8.2 Dioden 8.3 Transistoren 8.4 Einfache Grundschaltungen Als halbleitend

Mehr