... 9 x = x = 1. S n. S n+1. X n x = x = 1. Binärer synchroner Vorwärts/Rückwärts-Zähler

Größe: px
Ab Seite anzeigen:

Download "... 9 x = x = 1. S n. S n+1. X n x = x = 1. Binärer synchroner Vorwärts/Rückwärts-Zähler"

Transkript

1 Binärer synchroner Vorwärts/Rückwärts-Zähler Die zyklischen Folgen sind einfach zu veranschaulichen x = x = Damit sind aber noch nicht alle möglichen Übergänge erfasst Man muss nämlich berücksichtigen, dass sich der Zähler in einem beliebigen Zustand befinden kann, wenn x umgeschaltet wird Der Übergangsgraph wird jetzt deutlich komplexer x = x = Im Graphen wird ein Problem erkennbar: Jeder Zustand ist für sich aus der Kombination von Zustandsvektor S n und Eingangsvektor E n eindeutig erkennbar Aber es sind zwei Übergänge aus jedem Zustand möglich und es fehlt das Merkmal, an dem man erkennen kann, welcher Übergang auszuführen ist Das Problem ist dann lösbar, wenn man einen Unterschied zwischen der aktuellen Zählrichtung und einer neu eingestellten machen kann Das aber ist möglich, wenn es einen Zustandsspeicher gibt, der die aktuelle Zählrichtung angibt, die mit derjenigen am Eingang verglichen werden kann Das bedeutet ein zusätzliches Flip- Flop Takt Es gilt das nebenstehende Automatenmodell S n+ S n T-FF 3 Das Übergangsschaltnetz wird von 6 unabhängigen Schaltvariablen bestimmt Die Übergangstabelle muss also 64 Eingangskombinationen berücksichtigen Davon sind 4 Übergänge relevant und 4 redundant Die folgende Übergangstabelle ist für den Entwurf des Übergangsschaltnetzes maßgeblich (R = für T-FF Richtung ) X n T-FF T-FF T-FF T-FF Richtung 6

2 n n+ n+ x R s 3 s s s R s 3 s s s R s 3 s' s s Übung: Ergänzen Sie in der Übergangstabelle die fehlenden Zustandsübergänge und für einige willkürlich ausgewählte Kombinationen auch die T-Flip-Flop-Eingänge, damit das Prinzip geübt ist Das ist ein sehr komplexes Entwurfsproblem, das hier nicht vollständig behandelt werden soll 6

3 Übung: Die Schaltung wird deutlich weniger komplex, wenn man eine Umschaltung nicht in jedem Zustand des Schaltwerkes zulässt, sondern nur in je nach Zählrichtung einem Zustand, zb im Zustand Wie viele relevante Übergänge sind jetzt zu berücksichtigen? Ändert sich gegenüber dem vollständigen Ansatz etwas am Automatenmodell? An den beiden Zähler-Beispielen sollten die grundsätzlichen Merkmale des Entwurfes des es deutlich gemacht werden Im Folgenden soll der Entwurf des Ausgabe-es im Vordergrund stehen Schaltwerke mit zustandsgesteuerter Ausgabe Das klassische Beispiel für ein Schaltwerk mit einer zustandsgesteuerten Ausgabe ist die Steuerung einer Ampelanlage Beispiel für eine Ampel-Steuerung: Gruppen / und 3/4 zueinander feindlich Rot/ Gelb/ Grün/ Rot3/4 Gelb3/4 Grün3/4 x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x 7

4 Wird das synchrone Schaltwerk im Sekundentakt getaktet, erhält man eine Zykluszeit von 64 Sekunden Die Phasendauern werden auf den Zählerstand bezogen, der als binärer Aufwärtszähler realisiert wird An diesem Beispiel kann man auf eine Unzulänglichkeit des bisher benutzten Automatenmodells hinweisen Um verschiedene Programme abhängig von der Tageszeit einstellen zu können, sollte im Modell von vorneherein die Möglichkeit vorgesehen sein, auch das Ausgabe- durch Eingangsvariable zu beeinflussen Im Falle der Ampelsteuerung ist es notwendig, sich an die tageszeitlich unterschiedlichen Verkehrsbedingungen anzupassen Es kann sich dabei herausstellen, dass der Grundzyklus nicht angepasst werden muss Dh, dass nur die Ausgabe anpassbar sein muss Man stellt die Ausgabefolgen für die verschiedenen Verkehrsbedingungen auf Wenn man diese nacheinander in einen Speicher lädt, dienen die 6 niederwertigen Adressbits für die Adressierung der Ausgaben innerhalb einer Folge Die als Teil des Eingabevektors gelieferten zusätzlichen Adressbits dienen der Auswahl der konkreten Folge, die zur Ausführung kommen soll Takt A Anfangsadresse A 7 A 6 S n+ T-FF 5 T-FF 4 T-FF 3 T-FF S n wie Aufwärtszähler Ausgabezuordnung durch Speicher: einstellbare Anfangsadresse bestimmt Ausgabe- Programm T-FF T-FF Steuervariablen für die Lampenrelais Es ist also sinnvoll, nicht nur das durch Eingangsvariablen zu beeinflussen, sondern auch das Ausgabe- Welche Eingangsvariablen auf das jeweilige wirken sollen, legt die Anwendung fest Die Erweiterung des grundsätzlichen Modells ist in folgendem Schema dargestellt 7

5 Takt X n FF S n+ S n Ausgabe- Y n FF m S n Zur Schärfung des Problembewusstseins: Ein Schema schafft nur Ordnung beim Entwurf, der Teufel (oder die Teufelskunst!) steckt im Detail Die Grenzen der Entwurfsmethode Mit der Erweiterung der Anforderungen an die Steuerung der Ampelanlage kann die Flexibilität der Entwurfsmethode in Bezug auf veränderliche Betriebsbedingungen getestet werden Solange man die Übergänge nicht ändert, braucht man am Übergangsschaltnetz nichts zu ändern Die Anpassung der Ausgabe auf unterschiedliche Leuchtfolgen (Leuchtprogramme) ist auf der Basis der Realisierung mit Speichern relativ einfach zu realisieren Nun ist damit das Problem eigentlich nur halb gelöst Im Allgemeinen möchte man auch den Zyklus anpassen, zb, wenn man ihn verkürzen will Das testet die Flexibilität der Entwurfsmethode noch mehr Die größte Flexibilität bei der Verkürzung des Zyklus hat man dann, wenn man frei einen Zustand auswählen kann, nach dem das Schaltwerk zum Anfangszustand zurückkehrt (anders ausgedrückt: zum Anfang springt oder zum Anfang verzweigt) Es wird also gefordert, dass jeder Schaltwerkszustand danach bewertet wird, ob er der Endzustand ist oder nicht Das kann man durch einen Vergleich des aktuellen mit dem vorgegebenen Endzustand machen Solange die beiden ungleich sind, sollen die normalen Übergänge gelten Sobald sie gleich sind, soll der Ausnahmeübergang gelten Im Grunde soll diese Devise gewährleisten, dass möglichst viel von dem vorhandenen Entwurf erhalten bleibt und die Lösung für das erweiterte Problem als Ergänzung erfolgt 7

6 Wenn man das für die normalen Übergänge erhalten will und ein für die Ausnahmeübergänge ergänzt, dann muss man die Struktur so gestalten, dass beide e alternativ und gleichartig die zukünftigen Zustandsvektoren liefern können Das wird in folgendem Konzept deutlich Takt A Anfangsadresse A 7 A 6 S n+ T-FF 5 T-FF 4 T-FF 3 T-FF S n Ausgabezuordnung durch Speicher: einstellbare Anfangsadresse bestimmt Ausgabe- Programm T-FF T-FF Zustand für Neubeginn Vergleich: bei Gleichheit: Neubeginn; sonst: normal weiter wie Aufwärtszähler Steuervariable für die Lampenrelais Das Konzept sagt noch nichts über die Realisierung des alternativen Aufschaltens Übung: Bevor Sie jetzt weiter lesen, können Sie sich selbst fragen, welche Schaltungen, die Sie bisher kennen gelernt haben, Ihnen bei der Lösung des Problems helfen könnten Auf den nächsten Seiten werden Ihnen mehrere Lösungen vorgestellt Sie haben die Chance, wenigstens eine zu erfinden, bevor Sie weiterblättern (Ingenieur = Erfinder!) 73

7 Die erste Lösung nutzt die Multiplexer-Schaltung in Zusammenarbeit mit einer Antivalenz-, also EXOR-Schaltung Zustand für Neubeginn = = = = = = > wie Aufwärtszähler Übung: Verifizieren Sie die Schaltung im Sinne der Aufgabenstellung Unter welchen Bedingungen wäre die Schaltung geeignet, zu einem beliebigen Zustand zu verzweigen? 74

8 Da zum Anfangszustand verzweigt wird, in dem alle Flip-Flops sind, kann man in diesem Fall auch eine einfachere Schaltung vorsehen Zustand = = für = = Neubeginn = = > wie Aufwärtszähler 75

9 Die dritte Lösung realisiert das wahlweise Durchschalten zu den T-Flip-Flops mit Hilfe eines exklusiven Aufschaltens auf ein Sammelleitungssystem, das man Bussystem nennt Zustand = = für = = Neubeginn = = > wie Aufwärtszähler Jeder Ausgangstreiber der beiden alternativen Schaltungen hat zwei alternative Betriebsarten: Spannungswerte zum Ausgangspin durchschalten, oder keine leitende Verbindung des Ausgangspin zur Spannungsversorgung und zum Bezugspotential, anders ausgedrückt: die Schaltung vom Ausgangspin trennen oder abkoppeln oder hochohmig schalten Im durchlassenden Betrieb gibt es den Low- und den High-Schaltzustand Der hochohmige Zustand kommt als dritter Schaltzustand hinzu Solche Treiber heißen Tristate-Treiber Es ist ohne weitere Erklärung plausibel, dass mehr als zwei Einheiten mit ihren Treibern alternativ das Leitungssystem benutzen können und dass nicht nur eine Empfänger-Schaltung erreichbar ist (wie im Beispiel), sondern mehrere Wenn mehrere Einheiten ein Bussystem benutzen sollen, dann ist die Entscheidung darüber, wer darf, nicht mehr so einfach wie im Beispiel Dann muss man eine Schaltung vorsehen, die auf der Basis von Anfragewünschen eine Entscheidung nach Priorität trifft und dann gezielt eine Bewilligung zu derjenigen Einheit signalisiert, die die höchste Priorität hat 76

10 All diese Entwurfsalternativen zeigen, dass es sehr aufwendig werden kann, das ursprüngliche Entwurfskonzept an erweiternde Aufgabenstellungen anzupassen Was hat man denn mit der erweiternden Aufgabenstellung mehr erreichen wollen? Im Prinzip sollte eine Verzweigung in Abhängigkeit von bestimmten äußeren Konditionen erreicht werden Das Beispiel hat also das Problem von bedingten Abläufen aufgegriffen und es hat sich herausgestellt, dass die Entwurfsmethode wenig flexibel ist, sobald solche Aufgabenstellungen entstehen Bedingte Programmabläufe sind aber die Stärke der Einheiten, die über eine Programmablaufsteuerung verfügen, also Einheiten mit Computerarchitektur Die Konkretisierung des Schaltungsentwurfes muss sich unter diesen Gesichtspunkten hier teilen in den Entwurf für Automaten mit geringen Anforderungen an die Anpassungsfähigkeit der und Ausgabeeigenschaften während des späteren Betriebes Das ist zb das weite Feld der Steuerschaltungen innerhalb von Computern bzw von Einheiten mit Computerstruktur Ziel der Entwicklung ist ein Schaltungskonzept, das eine hohe Gesamtleistung der Einheit garantiert Die Berücksichtigung zukünftiger anpassender Änderungen behindert eher den Entwicklungsprozess im Blickwinkel der Geschwindigkeitsoptimierung Das ist das Feld der Entwürfe der Computerarchitektur in den Entwurf für Automaten mit merklichen Anforderungen an die Anpassungsfähigkeit der und Ausgabeeigenschaften während des späteren Betriebes Das ist das Feld der Steuerschaltungen, die zur Realisierung Einheiten mit Programmierfähigkeit brauchen die also über eine geeignete Programmablaufsteuerung verfügen Da die Einheiten mit Computerstruktur Mittel zum Zweck sind, dh eine Steuerungsaufgabe innerhalb einer Anwendung lösen, werden sie mit dem Zusatz embedded versehen Die Begriffsbildung kann vom verwendeten Computertyp ausgehen: dann spricht man von Embedded Computer oder Embedded Microcontroller Man kann aber auch vom Zweck als Steuerzentrale innerhalb der Anwendung ausgehen, die Embedded Controller genannt wird (was auch manchmal als Verkürzung von Embedded Microcontroller verwendet wird) Im Folgenden wird der Entwurf von ausgeklügelten Schaltungen für die Computerarchitektur nicht weiter verfolgt Da die Realisierung von Automaten mit computergestützten Einheiten ganz wesentlich vom maschinennahen Programmieren abhängig ist, sollen die Grundlagen hierfür diskutiert werden 77

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Schaltwerksanalyse-Übungen

Schaltwerksanalyse-Übungen Schaltwerksanalyse-Übungen Übung : Gegeben ist folgene Schaltung, eren Funktion zu bestimmen ist. c Ergänzen Sie as folgene Signal-Zeit-iagramm. c ie Lösung kann sehr zeitaufwenig sein, wenn man keine

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

SCHALTWERKE (State Machine)

SCHALTWERKE (State Machine) EDT-REFERAT SCHALTWERKE (State Machine) 1999/2000 2ANA Bernhard Schierer 1 Inhaltsverzeichnis: 1. Allgemeine Beschreibung von Schaltwerken 2. Systematischer Entwurf von Schaltwerken -Zustandsdiagramm -Entwurfsbeispiel

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

ar\(bvc) = ar\b v ar\c

ar\(bvc) = ar\b v ar\c AUFGABE K-l : Boolsche Polynome werden aus boolschen Ausdrucken erzeugt; so ist z.b. ar\(bvc) = ar\b v ar\c ein boolscher Ausdruck, der das Polynom ab + ac - abc erzeugt. Es ist allgemein wenig bekannt,

Mehr

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops 6.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus Mögliche eines dreistelligen Binärzählers 000 111 001 110 010 Übergänge pro Takt unbedingte Übergänge 101 011 6.3

Mehr

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Digitale Zähler Andreas Zbinden 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung Im vorliegenden Dokument werden asynchrone und synchrone,

Mehr

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Simon Gloser August 2, 27 Contents Automaten Zeichen 2. Beispiel........................................ 2 2 Übergangstabelle ( Übergangsmatrix ) anlegen

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT CHEMNITZ FAULTÄT FÜR INFORMATI Hardwarepraktikum im WS / Versuch 5 Sequentielle Systeme III Gruppe 8 Janina Bär Christian Hörr Robert Rex Chemnitz, 8. Januar Hardwarepraktikum Sequentielle

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

8. Realisierung von Schaltnetzen mit Gattern

8. Realisierung von Schaltnetzen mit Gattern 8. Realisierung von Schaltnetzen mit Gattern Im Folgenden soll ein kurzer qualitativer Einblick in die physikalische Arbeitsweise von Gattern gegeben werden. Dabei wird dann auch der Sinn des Begriffes

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 WS 2012/13 Sequenzielle Schaltungen Einleitung (Wiederholung) Modellierung mit Automaten Synchrone Schaltwerke Einleitung Flip-Flops Schaltwerk-Entwurf Einleitung von Neumann-Addierwerk Hinweis: Folien

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 19. Mai 2014 1/43 1 Sequenzielle

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten

6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten 6. Konzipierung und Realisierung von Schaltnetzen mit mechanisch einstellbaren Kontakten In der Einführung zur Schaltalgebra wurde schon die Realisierung mit Tastern beschrieben. Die Alternative zu handbetriebenen

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 13. Mai 2013 1 Sequenzielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 20. November 2013 1/48 1 Sequenzielle

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Multiplikationschip. Multiplikation. Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95. Oberwiesenstr.

Multiplikationschip. Multiplikation. Beitrag zu Werkstattunterricht Multiplikation Allgemeine Didaktik - Seminar SS95. Oberwiesenstr. Informationsblatt für die Lehrkraft Multiplikation Multiplikationschip Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95 Autor: Ernesto Ruggiano Oberwiesenstr. 42 85 Zürich

Mehr

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Eckart Modrow Beispiel zum Schaltungsentwurf S. 1 Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Inhalt: 1. Bezug zum Unterricht 2. Beschreibung durch einen endlichen

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

>1 Q. (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.)

>1 Q. (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.) (Prüfungs-)ufgaben zu Schaltwerken 1) etrachten Sie das folgende Schaltwerk: (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.) a) nalysieren Sie das

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Teil 1 Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes 3 1.1 Dezimalzahlensystem 3 1.2 Bündelung 4 1.3 Das dezimale Positionensystem 6 1.4 Römische Zahlen 7 1.5 Ägyptische Zahlen 8 1.6

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Versuch 5: Melodie-Player

Versuch 5: Melodie-Player Versuch 5: Melodie-Player Versuchsvorbereitung 1. (1 Punkt) Faktor für nächsten Halbton: Zwei Töne, die eine Oktave voneinander entfernt sind, unterscheiden sich durch den Faktor 2 in ihrer Frequenz. Eine

Mehr

Unterstützte Kommunikation - eine Auswahl an Geräten -

Unterstützte Kommunikation - eine Auswahl an Geräten - Unterstützte Kommunikation - eine Auswahl an Geräten - Diese Geräte sind in der M-K-S vorrätig und können ausgeliehen werden. Die Ausleihe findet statt jeden Freitag während der Frühstückspause in der

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Dynapic und Dynasim Interface mit Hilfe von Mikroprozessoren

Dynapic und Dynasim Interface mit Hilfe von Mikroprozessoren Dynapic und Dynasim Interface mit Hilfe von Mikroprozessoren 1 Einleitung Als Interface für Dynapic und Dynasim eignet sich besonders die Methode des Ladungsverstärkers. Deren Realisierung mit Hilfe eines

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam Hans-Joachim Adam Mathias Adam SPS-Programmierung in Anweisungsliste nach IEC 61131-3 Eine systematische und handlungsorientierte Einführung in die strukturierte Programmierung 4., bearbeitete Auflage

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra

Inhaltsverzeichnis Teil I Digitaltechnik Grundlagen: Zahlensysteme, Dualzahlen und Codes Logische Funktionen und Boolesche Algebra Teil I Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes............. 3 1.1 Dezimalzahlensystem.............................. 3 1.2 Bündelung..................................... 4 1.3 Das

Mehr

Arbeitstitel: DV-Infrastruktur

Arbeitstitel: DV-Infrastruktur Arbeitstitel: DV-Infrastruktur Überblick über die Lehrveranstaltung Rechnerarchitektur Betriebssysteme Rechnernetze Einf. in die WI 1 - DV-Infrastruktur WS03/04 1 Rechnerarchitektur Einf. in die Technologie

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Boolesche Funktionen, Schaltnetze und Schaltwerke Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

Vorlesung Datenstrukturen

Vorlesung Datenstrukturen Vorlesung Datenstrukturen Graphen (1) Darstellung Traversierung Dr. Frank Seifert Vorlesung Datenstrukturen - Sommersemester 2016 Folie 441 Generalisierung von Bäumen Verallgemeinerung (von Listen zu Graphen)

Mehr

Algorithmen. Von Labyrinthen zu. Gerald Futschek

Algorithmen. Von Labyrinthen zu. Gerald Futschek Von Labyrinthen zu Algorithmen Gerald Futschek Wie kommt man aus einem Labyrinth heraus? Labyrinth (griechisch: Haus der Doppelaxt, wahrscheinlich Knossos auf Kreta) Labrys Grundriss des Palastes von Knossos

Mehr

12. Vorlesung. Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung

12. Vorlesung. Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung 2. Vorlesung Logix Schaltungsanalyse Elektrische Schaltelemente Logikschaltungen Diode Transistor Multiplexer Aufbau Schaltungsrealisierung Campus-Version Logix. Vollversion Software und Lizenz Laboringenieur

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

DMX UNIVERSAL DEMUX mit 8 Ausgängen

DMX UNIVERSAL DEMUX mit 8 Ausgängen DMX UNIVERSAL DEMUX mit 8 Ausgängen mit verschiedenen Betriebsarten Schwellwert / Binär / PWM / Strobe / Servo Bedienungsanleitung Art.-Nr.: 90-0298 DMX298-Demux - 8 Kanal 2 Beschreibung Dieser DMX298-Demux

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine.

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine. Fachbereich Physik Elektronikpraktikum 10 Flipflops und Zähler Stichworte zur Vorbereitung: Flankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung: Zeichnen Sie

Mehr

Zugangskontrolle mit D-Button-Schlüssel-Technologie

Zugangskontrolle mit D-Button-Schlüssel-Technologie Zugangskontrolle mit D-Button-Schlüssel-Technologie ZKS 223 ist als Zugangskontrollsystem mit einer Stromstärke bis zu 10A bestimmt. Der Status (AN/AUS) wechselt mit berühren des Lesekopfes (Reader) mittels

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Übungscomputer mit Prozessor 8085 - Bedienungsanleitung

Übungscomputer mit Prozessor 8085 - Bedienungsanleitung Seite 1 von 9 Pinbelegung der Steckerleisten im Übungsgerät Seite 2 von 9 Inbetriebnahme: Schalter S1, S2, und S3 in Stellung 1 (oben) schalten. Spannung 5 V anlegen. ACHTUNG auf Polarität achten. Taste

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

GTP444. GSM-SMS-Fernschaltund Alarmmodul 4-Kanal. Programmierbar am PC mit Software. Kurzanleitung 1/2017. Seite 1 von 7

GTP444. GSM-SMS-Fernschaltund Alarmmodul 4-Kanal. Programmierbar am PC mit Software. Kurzanleitung 1/2017. Seite 1 von 7 GTP444 GSM-SMS-Fernschaltund Alarmmodul 4-Kanal Programmierbar am PC mit Software Kurzanleitung 1/2017 Seite 1 von 7 Technische Daten: Frequenzbereich 900/1800/1900MHz Betriebsspannung 12V DC, max. Stromaufnahme

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Industriestraße Eschborn Telefax / Telefon /

Industriestraße Eschborn Telefax / Telefon / Schrittmotoren Kompaktgeräte 9 Nm Einheit aus Schrittmotor und Steuerung Schrittmotor mit integrierter Positioniersteuerung Nennmoment bis 9 Nm, Versorgungsspannung 24 bis 60 V integrierte Schrittüberwachung

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. Mai 2014 1/50 1 Boolesche Funktionen

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Aufgaben zur Attiny-Platine

Aufgaben zur Attiny-Platine Das Attiny-Projekt Aufgaben 1 Aufgaben zur Attiny-Platine 1. LEDs blinken 1.1 Schließen Sie eine rote LED an PortB.0 und eine grüne LED an PortB.1 an (vgl. Abb. 1). Achten Sie dabei darauf, dass die langen

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Electronic Design Automation (EDA) Spezifikation

Electronic Design Automation (EDA) Spezifikation Electronic Design Automation (EDA) Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangs-diagramme... für

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

4. Alternative Temporallogiken

4. Alternative Temporallogiken 4. Alternative Temporallogiken Benutzung unterschiedlicher Temporallogiken entsprechend den verschiedenen Zeitbegriffen LTL: Linear Time Logic Ähnlich der CTL, aber jetzt einem linearen Zeitbegriff entspechend

Mehr

Binarloop. Binarloop für die echtzeitfähige und kostengünstige Verifikation hochdynamischer leistungselektronischer Systeme

Binarloop. Binarloop für die echtzeitfähige und kostengünstige Verifikation hochdynamischer leistungselektronischer Systeme für die echtzeitfähige und kostengünstige Verifikation hochdynamischer leistungselektronischer Systeme Funktions- und Sicherheitstests sind unabdingbare Schritte im Entwicklungsprozess leistungselektronischer

Mehr

Einführung in die Boolesche Algebra

Einführung in die Boolesche Algebra Einführung in die Boolesche Algebra Einführung in Boole' sche Algebra 1 Binäre Größe Eine Größe (eine Variable), die genau 2 Werte annehmen kann mathematisch: falsche Aussage wahre Aussage technisch: ausgeschaltet

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr