SCHALTWERKE (State Machine)

Größe: px
Ab Seite anzeigen:

Download "SCHALTWERKE (State Machine)"

Transkript

1 EDT-REFERAT SCHALTWERKE (State Machine) 1999/2000 2ANA Bernhard Schierer 1

2 Inhaltsverzeichnis: 1. Allgemeine Beschreibung von Schaltwerken 2. Systematischer Entwurf von Schaltwerken -Zustandsdiagramm -Entwurfsbeispiel für einen umschaltbaren Zähler 3. Reduzierung des Speicherplatzes -Aufteilung in Programm- und Ausgabe ROM -Eingangsmultiplexer 2

3 1.Allgemeine Beschreibung von Schaltwerken Unter einem Schaltwerk versteht man eine Anordnung zur Durchführung logischer Verknüpfungen mit der zusätzlichen Fähigkeit, einzelne Variablenzustände zu speichern. Die Ausgangsvariablen y j hängen im Unterschied zum Schaltnetz nicht nur von den Eingangsvariablen x i ab, sondern zusätzlich von der Vorgeschichte, die durch den Schaltzustand von Flip-Flops repräsentiert wird. Allgemein gehören zu den Schaltwerken auch Flip Flops, Zähler und Schieberegister. 2.Systematischer Entwurf von Schaltwerken: Zustandsdiagramm: Um ein Schaltwerk systematisch entwerfen zu können, benötigt man zuerst eine möglichst übersichtliche Beschreibung der Aufgabenstellung. Dazu gehen wir von dem allgemeinen Blockschaltbild aus. x...qualifier Wie schon gesagt hängen die Ausgangsvariablen y j nicht nur von den Eingangsvariablen x i, sondern vom vorhergehenden Zustand des Systems ab. Alle logischen Variablen des Systems, die neben den Eingangsvariablen den Übergang in den nächsten Zustand beeinflussen, heißen Zustandsvariablen z n. Damit sie beim nächsten Takt wirksam werden können, werden sie im Zustandsvariablenspeicher für einen Takt gespeichert. Die Menge der Eingangsvariablen x i heißt Eingangsvektor: X = {x 1,x 2,...x l } 3

4 Die Menge der Ausgangsvariablen y j heißt Ausgangsvektor: Y = {y 1,y 2,...y m } Die Menge der Zustandsvariablen z n heißt Zustandsvektor: Z = {z 1,z 2,...z n } Die verschiedenen Zustände, die das Schaltwerk durchläuft, bezeichnen wir als S Z. zur Vereinfachung der Schreibweise liest man den Zustandsvektor zweckmäßigerweise als Dualzahl und schreibt als Index einfach die entsprechende Dezimalzahl an. Der neue Zustand S(t k +1) wird einerseits vom alten Zustand S(t k ) und andererseits von den Eingangsvariablen x i bestimmt. Die Reihenfolge, in der die Zustände durchlaufen werden, kann also mit Hilfe der Eingangsvariablen beeinflußt werden. Die entsprechende Zuordnung wird so vorgenommen: Legt man an seine Eingänge den alten Zustandsvektor Z(t k ) an, tritt an seinem Ausgang der neue Zustandsvektor Z(t k +1) auf. Der entsprechende Systemzustand soll bis zum nächsten Taktimpuls bestehen bleiben. Der Zustandsvektor Z(t k +1) darf demnach erst mit dem nächsten Taktimpuls and die Ausgänge übertragen werden. Aus diesem Grund muß man flankengesteuerte Flip-Flops verwenden. Es gibt einige wichtige Spezialfälle von Schaltwerken: Ein Sonderfall ist z.b. der, daß man die Zustandsvariablen direkt als Ausgänge verwenden kann. Eine zweite Vereinfachung tritt dann auf, wenn die Reihenfolge der Zustände immer dieselbe ist. Dann benötigt man keine Eingangsvariablen. So sind z. B. Zähler aufgebaut. Zur allgemeinen Beschreibung der Zustandsfolge verwendet man ein Zustandsdiagramm.(Zustandsdiagramm= Bubble Diagramm) Jeder Zustand S Z des Systems wird durch einen Kreis repräsentiert. Der Übergang von einem Zustand in den anderen wird durch einen Pfeil gekennzeichnet. Die Bezeichnung des Pfeils gibt an, unter welcher Bedingung 4

5 der Übergang stattfinden soll. Bei diesem Beispiel folgt auf den Zustand S(t k ) = S 1 der Zustand S(t k +1) = S 2, wenn x 1 =1 ist. Bei x 1 = 0 hingegen wird S(t k +1) = S 0. Ein unbeschrifteter Pfeil bedeutet einen unbedingten Übergang. Bei einem synchronen Schaltwerk ist noch eine zusätzliche Bedingung zu beachten, daß ein Übergang nicht schon in dem Augenblick erfolgt, in dem die Übergangsbedingung wahr wird, sondern erst bei der darauf folgenden Taktflanke. Da diese Einschränkung für alle Übergänge im System gilt, trägt man sie in der Regel nicht zusätzlich in das Zustandsdiagramm ein, sondern vermerkt sie in der Beschreibung. Im folgenden wollen wir uns auf die Behandlung synchroner Schaltwerke beschränken, da ihr Entwurf unproblematisch ist. Wenn sich das System in einem Zustand S Z befindet und keine Übergangsbedingung wahr ist, die von diesem Zustand wegführt, bleibt das System im Zustand S Z. Diese an und für sich selbstverständliche Tatsache kann man in Einzelfällen noch besonders hervorheben, indem man einen Übergangspfeil in das Diagramm einträgt, der von S Z nach S Z zurück führt (Wartezustand). Im voherigen Bsp. haben wir einen solchen Übergang als Bsp. bei dem Zustand S 2 eingezeichnet. Nach dem einschalten der Betriebsspannung muß ein Schaltwerk in einen definierten Anfangszustand gebracht werden. Dazu dient die Bedingung pon (Power on ). Sie wird mit Hilfe einer besonderen Einschaltlogik für eine kurze Zeit nach dem Einschalten der Betriebsspannung auf Eins gesetzt und ist sonst Null. Mit diesem Signal löscht man in der Regel den Zustandsvariablenspeicher, in dem man es an den Reset Eingängen der Flip-Flops anschließt. Die Funktion eines Schaltwerkes läßt sich statt mit einem Zustandsdiagramm auch mit einem Flußdiagramm darstellen. 5

6 Diese Darstellung führt auf die Realisierungsmöglichkeit eines Schaltwerkes mit Hilfe eines Mikrocomputers. Entwurfsbeispiel für einen umschaltbaren Zähler: Als Beispiel wollen wir einen Zähler entwerfen, dessen Zählzyklus 0, 1, 2, 3 oder 0, 1, 2 lautet, je nachdem, ob die Steuervariable x gleich Eins oder Null ist. Das entsprechende Zustandsdiagramm zeigt das folgende Bild. Da das System 4 Zustände (2 2 ) annehmen kann, benötigen wir 2 Flip-Flops zur Speicherung des Zustandsvektors Z mit den Variablen z 0 und z 1. Da man an diesen Variablen unmittelbar den Zählerstand ablesen kann, dienen sie gleichzeitig als Ausgangsvariablen. Zusätzlich soll bei Z max noch ein Übertrag y ausgegeben werden, d.h. wenn im Fall x=1 der Zählerstand Z=3 oder im Fall x=0 der Zählerstand Z=2 ist. Damit wir die Schaltung erhalten benötigen wir die Wahrheitstabelle. 6

7 Auf der linken Seite der Tabelle sind alle Wertekombinationen aufgeführt, die Eingangs- und Zustandsvariablen annehmen können. Aus dem Zustandsdiagramm kann man für jede Kombination ablesen, welches der nächste Zustand ist. Er ist auf der rechten Seite der Tabelle aufgeführt. Zusätzlich ist der jeweilige Wert der Übertragungsvariablen y eingetragen. Realisiert man das Schaltwerk als ROM, kann man die Wahrheitstabelle unmittelbar als Programmiertabelle verwenden. Dabei dienen die Zustands- und Eingangsvariablen als Adressvariablen. unter der jeweiligen Adresse speichert man den neuen Wert Z des Zustandsvektors Z und der Ausgangsvariablen y. Zur Realisierung des Zählerbeipieles benötigen wir demnach ein ROM mit 8 Worten a 3 bit. Das kleinste Prom besitzt 32 Worte a 8 bit. Es wird also nur ein Zehntel der Speicherkapazität belegt. Aus der Wahrheitstabelle können wir folgende Schaltfunktion aufstellen: Damit ergibt sich die Realisierung des Schaltwerkes mit Gattern. Man erkennt daß der Aufwand an integrierten Schaltungen um ein Vielfaches größer ist als bei der Verwendung eines ROMs. Eine andere Alternative zur komplexen Realisierung der Schaltung besteht im Einsatz von programmierbaren logischen Schaltungen. Sowohl ROMs als auch PDLs ermöglichen nicht nur eine 1 Chip Lösung sondern besitzen außerdem noch den entscheidenden Vorteil der Flexibilität: Man braucht lediglich den Baustein neu 7

8 zu programmieren und erhält ohne zusätzliche Änderungen eine Schaltung mit anderen Eigenschaften. Die Realisierung eines Schaltwerkes mit Gattern ist demnach nur in einfachen Sonderfällen empfehlenswert, z.b. bei Standardzählern. 3.Reduzierung des Speicherplatzbedarfs: Beim Aufbau sehr komplexer Schaltwerke kommt man jedoch auch bei der Lösung mit einem ROM sehr bald an eine Grenze, bei der die erforderliche Speicherkapazität exzessiv ansteigt. Im folgenden werden einige Kunstgriffe angegeben, mit denen sich dieses Problem weitgehend beseitigen läßt. Wie man bei der Grundschaltung erkennt, besitzt das i Schaltwerk enthaltene Schaltnetz n+l Eingänge und n+m Ausgänge. Darin ist n die Zahl der Zustandsvariablen, l die Zahl der Eingangsvariablen und m die Zahl der Ausgangsvariablen. Bei der Realisierung mit einem ROM ergibt sich demnach eine Speicherkapazität von: 2 n+l Worte a (n+m) bit = (n+m) 2 n+ l bit Man hat dabei die Möglichkeit, jeder Kombination von Zustands- und Eingangsvariablen einen bestimmten Ausgangsvektor Y zuzuordnen. Aufteilung in Programm- und Ausgabe ROM: In der Praxis ist es so, daß die Werte der meisten Ausgangsvariablen bereits vollständig durch die Zustandsvariablen bestimmt sind und nur wenige von einem Teil der Eingangsvariablen abhängen. Aufgrund dieser Tatsache bietet es sich an, das ROM in zwei ROMs aufzuspalten. Das erste ist das Programm ROM. Es enthält nur noch die Folge der Systemzustände und keine Ausgangszustände. Diese werden in dem Ausgabe ROM aus den Zustandsvariablen gebildet. Deshalb ist l 2 in der Regel kleiner gegenüber l. Es kann auch Fälle geben, bei denen eine Eingangsvariable nur 8

9 einen Einfluß auf die Ausgabecodierung hat und nicht auf die Zustandsfolge. Solche Eingangsvariablen kann man bei der Aufteilung gemäß dem voherigen Bild direkt am Ausgabe ROM anschließen und beim Programm ROM weglassen. Deshalb kann auch l 1 kleiner l sein. Da an den beiden ROMs nur diejenigen Eingangsvariablen angeschlossen sind, die zur Ablauf- bzw. Ausgabesteuerung tatsächlich benötigt werden, ergibt sich eine wesentliche Reduzierung des Speicherplatzbedarfs. Der ungünstige Fall ist derjenige, daß bei beiden ROMs alle l Eingangsvariablen benötigt werden. Dann wird die erforderliche Speicherkapazität der beiden ROMs zusammen gerade so groß wie die des einen ROMs bei der Prinzipiellen Anordnung eines Schaltwerkes. Bezüglich der Speicherkapazität tritt dann also keine Ersparnis ein. Trotzdem ist auch in diesem Fall die Aufspaltung in zwei ROMs vorteilhaft: Man kann damit das System leichter verschiedenen Randbedingungen anpassen. Es gibt viele Fälle, in denen die Zustandsfolge identisch ist, und sich nur die Ausgabe Instruktionen unterscheiden. Dann braucht man zur Anpassung nur das Ausgabe ROM auszutauschen, während das Programm ROM unverändert bleibt. Eingangsmultiplexer: Es gibt noch eine andere Eigenschaft praktischer Schaltwerke, die sich zur Reduktion des Speicherplatzbedarfs ausnutzen läßt: Häufig ist die Zahl l der Eingangsvariablen so groß, daß die Zahl der Adressvariablen eines ROMs bei weitem überschritten wird. Andererseits werden von den 2 l Kombinationsmöglichkeiten nur relativ wenige Kombinationen ausgenutzt; mitunter nur l verschiedene. Deshalb bietet es sich an, die Eingangsvariablen nicht direkt als Adressvariablen zu verwenden, sondern mit Hilfe eines Multiplexers in jedem Zustand nur die jeweils interessierenden Variablen abzufragen. Damit ergibt sich das Blockschaltbild: 9

10 Neben den Zustandsvariablen wird noch der Ausgang x des Multiplexers an den Adresseneingängen des ROMs angeschlossen. Der Multiplexer wird mit Hilfe einiger zusätzlicher Ausgänge des ROMs mit der Dualzahl Q angesteuert. Die damit ausgewählte Eingangsvariable bezeichnet man x Q. Wenn bei einem Übergang mehrere Eingangsvariablen abgefragt werden sollen, muß man die Abfrage bei diesem Verfahren nacheinander durchführen, da jeweils nur eine Variable ausgewählt werden kann. Dazu zerlegt man den betreffenden Zustand in mehrere Unterzustände, bei denen jeweils nur eine Eingangsvariable abgefragt wird. Dadurch ergibt sich insgesamt eine größere Zahl von Systemzuständen, die mit Hilfe einiger zusätzlicher Zustandsvariablen dargestellt werden können. Dieser zusätzliche Aufwand ist jedoch klein gegenüber der Einsparung an Speicherplätzen durch die Multiplexabfrage der Eingangsvariablen. 10

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

6 Schaltwerke und endliche Automaten

6 Schaltwerke und endliche Automaten 6 Schaltwerke und endliche Automaten 6.1 Entwicklungsstufen 143 In diesem Abschnitt wird gezeigt, wie das Blockschaltbild aus 1.4 realisiert werden kann. Mithilfe der entwickelten Speicherbausteine und

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 Vorlesung mit begleitendem Praktikum Klaus Kasper Achtung! Vorlesung am 3.4.27 fällt aus! Nächste Vorlesung am 2.4.27! Organisation des Praktikums Betreuung: Michael Müller, Klaus

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Teil 1: Logik 1e: Zustandsautomaten

Teil 1: Logik 1e: Zustandsautomaten Teil 1: Logik 1e: Zustandsautomaten Synchroner Zähler als Zustandsautomat Betrachte Zählerstand als Zustand Übergänge zwischen 0,1,2,3 ohne externe Randbedingungen 0 3 1 2 Zustandsautomat (1) Zustandsspeicher

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Teil 1: Logik 1e: Zustandsautomaten

Teil 1: Logik 1e: Zustandsautomaten Synchroner Zähler als sautomat Teil 1: 1e: sautomaten Betrachte Zählerstand als Übergänge zwischen 0,1,2,3 ohne externe Randbedingungen 0 3 1 2 sautomat (1) sautomat (2) sspeicher sspeicher Wenn 2, dann

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Einführung in die Elektronik für Physiker

Einführung in die Elektronik für Physiker Hartmut Gemmeke Forschungszentrum Karlsruhe, IPE hartmutgemmeke@kitedu Tel: 07247-82-5635 Einführung in die Elektronik für Physiker 17 Schaltnetze und Schaltwerke Abhängigkeitsnotation für logische Schaltungen

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops 6.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus Mögliche eines dreistelligen Binärzählers 000 111 001 110 010 Übergänge pro Takt unbedingte Übergänge 101 011 6.3

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Simon Gloser August 2, 27 Contents Automaten Zeichen 2. Beispiel........................................ 2 2 Übergangstabelle ( Übergangsmatrix ) anlegen

Mehr

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Digitale Zähler. Andreas Zbinden. 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Digitale Zähler Andreas Zbinden 19. März 2018 Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung Im vorliegenden Dokument werden asynchrone und synchrone,

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder Lösung 2. PROM - Dual-zu-Siebensegmentdecoder Die Ziffern bzw. Buchstaben sollen auf der Siebensegmentanzeige gemäß der Abbildung dargestellt werden: 0 2 3 4 5 6 7 8 9 0 2 3 4 5 Die Ansteuerung der Leuchtsegmente

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik Fachbereich Physik Elektronikpraktikum 9 Logische Gatter Stichworte zur Vorbereitung: Logische Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem,

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Arbeitstitel: DV-Infrastruktur

Arbeitstitel: DV-Infrastruktur Arbeitstitel: DV-Infrastruktur Überblick über die Lehrveranstaltung Rechnerarchitektur Betriebssysteme Rechnernetze Einf. in die WI 1 - DV-Infrastruktur WS03/04 1 Rechnerarchitektur Einf. in die Technologie

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

DIGITALE SCHALTWERKE MIT EPROM

DIGITALE SCHALTWERKE MIT EPROM KOMBINATORISCHE LOGIK: DIGITALE SCHALTWERKE MIT EPROM Ohne Takt, Verknüpfung unabhängig vom Vorzustand. Realisierung: Mit Gattern (nach Karnaugh): Aufwendig, unflexibel. Nur für einfache Verknüpfungen

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Übung zu Grundlagen der Technischen Informatik

Übung zu Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Multiplexer und De-Multiplexer

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Schieberegister Andreas Zbinden Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung In diesem Dokument werden die Grundlagen von Schieberegistern und von

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Digital Design 4 Schaltwerke

Digital Design 4 Schaltwerke 4 Schaltwerke Schaltwerk: Ausgabevektor hängt nicht nur von Eingabevektor ab, sondern auch von allen bisherigen Eingaben. A(t n ) = f(e(t n ), E(t n-1 ), E(t n-2 ), E(t n-3 ),... E(t 0 ) dazu sind erforderlich:

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset

Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Eckart Modrow Beispiel zum Schaltungsentwurf S. 1 Beispiel zum Schaltungsentwurf mithilfe endlicher Automaten Ein Zähler modulo 3 mit Reset Inhalt: 1. Bezug zum Unterricht 2. Beschreibung durch einen endlichen

Mehr

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 12 Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 AUFGABE 1 KOMPARATOR Beschreibung Entwickeln Sie eine digitale Schaltung, die zwei Bits a und b miteinander

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

GTI ÜBUNG 11 AUTOMATEN

GTI ÜBUNG 11 AUTOMATEN 1 GTI ÜBUNG 11 AUTOMATEN Aufgabe 1 Automaten 2 Beschreibung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armbanduhr, der eines von vier internen Registern auf dem Display

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Informatik Gierhardt Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Algebra Der englische Mathematiker George Boole (1815-1864) entwickelte in seinem Buch The Laws of Thought zur systematischen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Teil 1 Digitaltechnik 1 Grundlagen: Zahlensysteme, Dualzahlen und Codes 3 1.1 Dezimalzahlensystem 3 1.2 Bündelung 4 1.3 Das dezimale Positionensystem 6 1.4 Römische Zahlen 7 1.5 Ägyptische Zahlen 8 1.6

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

3.8 Sequentieller Multiplizierer 159

3.8 Sequentieller Multiplizierer 159 .8 Sequentieller Multiplizierer 59 Nachfolgende Abbildung zeigt den (unvollständigen) Aufbau einer Schaltung zur Implementierung des gezeigten Multiplikationsverfahrens. b) Vervollständigen Sie die Schaltung

Mehr

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1=

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1= Arithmetische Schaltungen c) Vervollständigen Sie nachfolgend abgebildeten Zustands-Automaten so, dass er den Multiplizierer wie gewünscht steuert. Nehmen Sie an, dass Sie zur Detektion des Schleifen-Abbruchs

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

... 9 x = x = 1. S n. S n+1. X n x = x = 1. Binärer synchroner Vorwärts/Rückwärts-Zähler

... 9 x = x = 1. S n. S n+1. X n x = x = 1. Binärer synchroner Vorwärts/Rückwärts-Zähler Binärer synchroner Vorwärts/Rückwärts-Zähler Die zyklischen Folgen sind einfach zu veranschaulichen x = x = Damit sind aber noch nicht alle möglichen Übergänge erfasst Man muss nämlich berücksichtigen,

Mehr

Analyse logischer Schaltnetze

Analyse logischer Schaltnetze 2003, Thomas armetler Kippstufen und ähler nalyse logischer Schaltnetze nalyse logischer Schaltnetze eim usammenwirken von mindestens zwei logischen Grundschaltungen spricht man auch von einem logischen

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr