i)((a + b) + (ā b)) + c ii ) (a c) + ((b + 0) c) iii) (a c) (ā + c) (b + c + b) iv ) (ā + (b c)) + (c (b + c))

Ähnliche Dokumente
Technische Informatik 2

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik

5.4 CMOS Schaltungen und VLSIDesign

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1.

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3

Skript für die Oberstufe und das Abitur 2015 Baden-Württemberg berufl. Gymnasium (AG, BTG, EG, SG, WG)

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester Sprachen. Grammatiken (Einführung)

Einschub: Zahlendarstellung und Codes

Klausur zur Vorlesung

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist

Teil V: Formale Sprachen

Für den Mathe GK, Henß. - Lineare Algebra und analytische Geometrie -

Übungsblatt 1 zum Propädeutikum

Grundlagen der Technischen Informatik. Bausteine der Digitaltechnik - Binäre Schalter und Gatter. Kapitel 7.1

Inhaltsverzeichnis. Inhaltsverzeichnis Logik Zahlensysteme Grundbegriffe zweiwertiger Logik... 13

Übungsblatt Gleichungssysteme Klasse 8

Präfixcodes und der Huffman Algorithmus

Lösungsskizze zu Übungsblatt Nr. 13

edatenq ist eine Anwendung, die den Unternehmen die Möglichkeit bietet, ihre statistischen Meldungen über das Internet auszufüllen und einzureichen.

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt:

Lösungsskizze zu Übungsblatt Nr. 13

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge.

Karlsruher Institut für Technologie

Canon Nikon Sony. Deutschland Österreich Schweiz Resteuropa J

Funktionen und Mächtigkeiten

Mathematik PM Rechenarten

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen

Mikro-Controller-Pass 1

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009

Schritte international im Beruf

Mathematik. Name, Vorname:

Bestimmung der Adsorptionsisotherme von Essigsäure an Aktivkohle

13 Rekonfigurierende binäre Suchbäume

Therapiebegleiter Kopfschmerztagebuch

Vorkurs Mathematik Fachhochschule Frankfurt, Fachbereich 2. Fachhochschule Frankfurt am Main Fachbereich Informatik und Ingenieurwissenschaften

Logische Grundschaltungen

Rechnerarchitekturen und Mikrosystemtechnik

Einführung in die Schaltalgebra

DV1_Kapitel_5.doc Seite 5-1 von 36 Rüdiger Siol :31

Prüfungsteil Schriftliche Kommunikation (SK)

Verbrauchswerte. 1. Umgang mit Verbrauchswerten

Sponsored Search Markets

12 Schweißnahtberechnung

Public-Key-Verfahren: Diffie-Hellmann und ElGamal

STUDIUM. Mathematische Grundlagen für Betriebswirte

1 GeschäftsdiaGramme. Abbildung 1.1: Übersicht zu unterschiedlichen Grafi ktypen Unify objects: graphs e.g. org graphs, networks, and maps

Technische Informatik - Hardware

Logarithmus - Übungsaufgaben. I. Allgemeines

Grundwissen am Ende der Jahrgangsstufe 9. Wahlpflichtfächergruppe II / III

Systemtheorie Digitaler Systeme

Programmieren in C/C++ und Matlab

Die Brückenlappentechnik zum sicheren Verschluss von Nasenseptumdefekten

VERORDNUNG ZUM ORGANISATIONSREGLEMENT DES SCHULVERBANDES NIDAU

FernUniversität Gesamthochschule in Hagen

Großübung zu Kräften, Momenten, Äquivalenz und Gleichgewicht

1 Kurvendiskussion /40

Personal und Finanzen der öffentlich bestimmten Fonds, Einrichtungen, Betriebe und Unternehmen (FEU) in privater Rechtsform im Jahr 2003

Definition Suffixbaum

Die kleine Box für den großen Erfolg. Fragen und Antworten zur. GUSbox 16, 90* So einfach wie telefonieren. mtl.ab. a Fernwartung Ihrer Praxis -

Schriftliche Prüfungsarbeit zum mittleren Schulabschluss 2007 im Fach Mathematik

Kapitel 6 s senden und empfangen

Vorlesung 24: Topological Sort 1: Hintergrund. Einführung in die Programmierung. Bertrand Meyer. Topological sort

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999

5.3 Dynamisches Sitzen und Stehen

Grundwissen Abitur Analysis

Hinweise für den schulischen Umgang mit lese-/rechtschreibschwachen Kindern speziell in der Sekundarstufe I

Brückenkurs Mathematik

Seminar Quantum Computation - Finite Quanten-Automaten und Quanten-Turingmaschinen

Großübung Balkenbiegung Biegelinie

McAfee Firewall Enterprise

Versuchsplanung. Grundlagen. Extrapolieren unzulässig! Beobachtungsbereich!

FB Technologie und Management. Das de Morgansche Theorem. Kombinationsschaltungen (Schaltnetze) Rangfolge der 3 Grundoperationen

Streuungsmaße. Grundbegriffe

17 Doppelbündel-Rekonstruktion mit Semitendinosussehne

Nachtrag Nr. 71 a. gemäß 10 Verkaufsprospektgesetz (in der vor dem 1. Juli 2005 geltenden Fassung) Unvollständigen Verkaufsprospekt

Exportmodul Artikel-Nr.: 20208

Eufic Guide Enfant ALL 14/12/04 15:44 Page 1 10 Tipps für Kids Spiel mit uns! Zur gesundenernährung

Inhaltsverzeichnis. Modul Produktion + Steuerungstechnik Grundlagen. Zusammenfassung Wintersemester 05/06

( 3) k ) = 3) k 2 3 für k gerade

Hamburg Kernfach Mathematik Zentralabitur 2013 Erhöhtes Anforderungsniveau Analysis 2

1.1 Grundbegriffe und Grundgesetze 29

Informatik I WS 07/08 Tutorium 24

Matrizen und Determinanten

Erweitern. a b. bd + bc. bd = ad+bc. bei ganzzahligem Nenner: Hauptnenner (= kgv der Nenner), z.b = a d = ac

Lösung: a) b)

Musterlösung zur Musterprüfung 2 in Mathematik

Einführung in Mathcad H.

McAfee Firewall Enterprise

GPM Project Excellence Modell Nürnberg, PM Forum 2014

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm

bei Problemen die Theorie und die Beispiele am Anfang jeder Lerneinheit durcharbeiten

Klausur Grundlagen der Elektrotechnik (Version 5 für Diplom)

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO)

Kapitel 8 Apps installieren und verwalten

2. Digitale Codierung und Übertragung

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an!

Identifizierbarkeit von Sprachen

Transkript:

Boolsche Alger In dieser Aufge soll noch einml der Umgng mit der Boolschen Alger geuet werden. Zur Erinnerung deshl hier zunechst noch einml die grundlegenden Regeln (Nummerierung entsprechenend den GTI-Folien): Nottion: = nd ; + = or ; ā = not Axiome: GrundmengeB = {, } B Kommuttivitet, B : + = +, = B 2 Distriutivitet,, c B : ( + ) c = ( c) + ( c), ( ) + c = ( + c) ( + c) B 3 B : + =, = B 4 B : + ā =, ā = B 5, B : = Lemmt: 2. Eindeutigkeitder n B : B : + n = n = Eindeutigkeitder e B : B : e = e = 2.2 EindeutigkeitdesKomplements B : k B : [ + k = und k = k = ā] 2.3 Idempotenz B : + = = 2.4 B : + =, = 2.5 =, = 2.6 DoppeltesKomplement B : ā = 2.7 Asorption, B : + =, ( + ) = 2.8 Assozitivitet,, c B : + ( + c) = ( + ) + c ( c) = ( ) c 2.9 DeMorgn, B : + = ā = ā + Bei der Anwendung der Regeln nicht den Fehler mchen rithmetische Regeln einzusetzen. Boolsche Alger verhelt sich n einigen Stellen nders!!! Bitte ei jedem Umformungsschritt die verwendete(n) Regel(n) ngeen )Welche der folgenden 4 Boolschen Ausdruecke sind identisch? Tip: Versuchen sie lle Terme uf eine der Normlformen zu ringen i)(( + ) + (ā )) + c ii ) ( c) + (( + ) c) iii) ( c) (ā + c) ( + c + ) iv ) (ā + ( c)) + (c ( + c))

i) (( + ) + (ā )) + c Musterloesung: (( + ) + (ā )) + c 2.9 = ((ā ) + (ā )) + c 2.6 = ((ā ) + (ā )) + c 2.3 = (ā ) + c DNF B = 2 (ā + c) ( + c) KNF ii ) ( c) + (( + ) c) Musterloesung : ( c) + (( + ) c) B 3,2.9 = ( c) ( c) 2.9 = (ā + c) ( + c) KNF iii) ( c) (ā + c) ( + c + ) Musterloesung : ( c) (ā + c) ( + c + ) 2.3,2.9 = (ā + c) (ā + c) ( + c) 2.3 = (ā + c) ( + c) KNF iv ) (ā + ( c)) + (c ( + c)) (ā + ( c)) + (c ( + c)) 2.7 = (ā + ( c)) + c 2.9 = (ā + ( + c)) + c 2.9 = ( ( + c)) + c B 2 = ( + c) (( + c) + c ) }{{} c+c= = ( + c) ( }{{ + } ) = = + c DNF

Nme: Mtrikel-Nummer: Quine/McClusky Gegeen sei eine Bool sche Funktion F = c d + c d + c d + _ c d + c d () Geen Sie F in der Minterm-Normlform n. F Minterm = c d + c d + c d + c d + _ c d + c d () Bestimmen Sie eine minimle Drstellung von F mit Hilfe des Krnugh- Digrmms: Füllen Sie dzu ds uf der nächsten Seite ngegeene Digrmm zunächst entsprechend mit Einsen us. Mrkieren Sie dnn die lle gefundenen Primimpliknten. Geen Sie die minimle Drstellung hier n: F Min = P + P 2 = c d + d (c) Minimieren Sie die Funktion G = c d + c d + c d + c d + _ c d + c d mit Hilfe des Quine/McClusky Verfhrens. Ds Verfhren enötigt hier 2 Itertionen. Geen Sie die Zwischenergenisse nch den ngegeenen Aktionen des Verfhrens in eiden Itertionen n. Bei der Summe der Konsensusse sind lle (d.h. uch doppelt uftretende) nzugeen! GRA, WS 24/25, 24..25 2

Nme: Mtrikel-Nummer: Zu (): Auszufüllendes Krnugh-Digrmm: Erstzdigrmm: d d P 2 P c c Teil (c): Quine / McClusky Verfhren Nr. Aktion / Zwischenergenisse Ausgngsfunktion G _ c d + c d + c d + c d + c d + c d Summe ller Simplen Konsensusse : _ c d + c d + c d + c d + d + d + c d 2 Nch Streichen von Verlängerungen und Vereinfchen : _ c d + c d + c d + c d + d + d + c d Summe ller Simplen Konsensusse : c d + c d + d + d Nch Streichen von Verlängerungen und Vereinfchen c d + d 3 GRA, WS 24/25, 24..25

Nme: Mtrikel-Nummer: Ginsurg/Huffmn ) Reduktion nch dem Ginsurg/Huffmnn-Verfhren: Äquivlenzklssenzuordnung im. Schritt 2. Schritt 3. Schritt 4. Schritt δ/λ S S5/ S6/ A 2 A 2 B 2 B 2 C 3 C C 3 S2 S6/ S5/ A 2 A 2 B 2 B 2 C 3 C A 3 B S3 S7/ S6/ A 2 A 2 B 3 B 2 C 4 C 3 C 2 S4 S8/ S5/ A 2 A 2 B 3 B 2 C 4 C 3 S5 S/ S4/ A A B B C C 2 B C 3 2 S6 S2/ S3/ A A B B C C 2 A 2 S7 S4/ S6/ A A 2 B B 2 C 2 C B 3 3 C 4 S8 S4/ S5/ A A 2 B B 2 C 2 C 3 A = { S, S2, S3, S4 }, B = { S, S2, S3, S4 }, A 2 = { S5, S6, S7, S8 }, B 2 = { S5, S6 }, C = { S, S2 }, B 3 = { S7, S8 }, C 2 = { S3, S4 }, C 3 = { S5, S6 }, C 4 = { S7, S8 } GRA, WS 24/25, 24..25 4

Nme: Mtrikel-Nummer: ) Die Zustndsüergngsgrphen des minimierten Mely-Automten und dzu äquivlenten Moore-Automten : / C C3 / / / / / C2 / / C4 C3 C C3 C2 C4 C2 5 GRA, WS 24/25, 24..25

Nme: Mtrikel-Nummer: CMOS- und Gtterschltungen ) Zeichnen Sie sierend uf den unten drgestellten Schltsymolen von nmos und pmos Trnsistoren die Gtter NOT, NAND und NOR ls CMOS Gtter. Tipp: Ein pmos Anreicherungstyp leitet, wenn m Gte eine logische, lso GND nliegt. Ein nmos Anreicherungstyp leitet, wenn m Gte eine logische, lso VCC nliegt. pmos nmos VCC GND NOT NAND NOR i o y y ) Zeichnen Sie sierend uf den Schltsymolen der Gtter NOT, NAND und NOR einen Hlddierer, der die eiden Eingngsits und zu einer Summe s und einem Üerluf c ddiert. Stellen Sie dzu zunächst die Booleschen Funktionen für s und c uf. _ s = xor = A B A B c = nd = _ A + B i o & y > y HALF ADDER & & s & c GRA, WS 24/25, 24..25 6

Nme: Mtrikel-Nummer: VHDL Signltiming und Vrile Gegeen sei folgende VHDL-Beschreiung mit gemischten Signl- und Vrilennweisungen. ) Trgen Sie für jede Signlzuweisung von (Zeilen 4 is 7) die jeweiligen Werte in die Trnsktionsliste ein. Achten Sie uf die unterschiedlichen Verzögerungsrten (trnsport-dely, inertil-dely). Hinweis: Wenn nichts vor der Signlzuweisung steht, dnn wird inertil-dely enutzt! rchitecture TEST of AUFGABE is 2 signl, : integer := ; 3 egin 4 <= 6 fter ns, 8 fter 2 ns, 8 fter 3 ns, 22 fter 4 ns, fter 5 ns; 5 <= trnsport 4 fter 4 ns; 6 <= 8 fter 3 ns; 7 <= trnsport 3 fter 2 ns; 8 9 process (, ) vrile c, d : integer := ; egin 2 <= +; 3 c := *4 + 3; 4 d := + + c; 4 end process; 6 end TEST; ) Trgen Sie die Werte von,, c und d für lle gegeenen Zeitpunkte in die entsprechende Signltrce-Telle ein. Es reicht, wenn Sie nur zu den Zeitpunkten, n denen sich etws ändert, Werte eintrgen. D in der Sensitivliste des Prozesses die Signle und stehen, wird der Prozess ei jeder Signländerung dieser eiden Signle usgeführt. Um die Werte in der Signltrce-Telle uszurechnen, können die Gleichungen us den Zeilen 2, 3 und 4 folgendermßen geändert werden: = +; c = (+)*4+3 = 4+7 d = +++4+7 = 6+8 Um nochmls VHDL zu wiederholen, ist zum einen ds Vorlesungsskript geeignet, zum nderen ds Buch VHDL Eine Einführung von Pul Molitor und Jörg Ritter (ISBN: 3-8273- 747-7). 7 GRA, WS 24/25, 24..25