Überblick. Zieltechnologien. Was ist ein ASIC? Aufbau eines Die (Wiederholung) Anwendungsbsp. Full-Custom. Full-Custom ASIC

Größe: px
Ab Seite anzeigen:

Download "Überblick. Zieltechnologien. Was ist ein ASIC? Aufbau eines Die (Wiederholung) Anwendungsbsp. Full-Custom. Full-Custom ASIC"

Transkript

1 Überblick Zieltechnologien Welcher SIC-Typ passt zu meinem Design? Terminologie SIC-Typen und ihre Charakteristika Programmable Logic Devices: Prinzipien Programmierbare Logikzellen Programmierbare I/O-Zellen Programmierbarer Interconnect. Steininger / TU Wien 1. Steininger / TU Wien 2 Was ist ein SIC? pplication Specific Integrated Circuit Beispiele: 10% der Umsätze PC-Chipset, Spielzeug, Satellit Gegenbeispiele: Pentium, DRM, 74xxx 90% der Umsätze Standard-ICs ufbau eines Die (Wiederholung) Zellen: die Transistoren / Zellen benötigen Layers (Diffusionsprozesse auf dem Silizium) Interconnect: für die Verbindungen stehen weitere Layers zur Verfügung (Metallisierung) für jeden Layer gibt es eine Maske. Steininger / TU Wien 3. Steininger / TU Wien 4 Full-Custom SIC alle Masken (Zellen + Interconn.) sind anwenderspezifisch + beliebig optimierbar (Fläche, Leistungsaufnahme, Geschwindigkeit) besonders hoher ufwand (Design, Test, Fertigung) keine Garantie bei der Fertigung nwendung nur in Sonderfällen nwendungsbsp. Full-Custom Mixed-Signal Design naloge und digitale Funktionen auf einem IC extrem kompakte HW (z.b. Handy) Signal Integrity-Probleme machen Design extrem schwierig Zusätzliche Technologieschritte für analoge Bauelemente erschweren Fertigung. Steininger / TU Wien 5. Steininger / TU Wien 6

2 Standard-Cell SIC (CBIC) Standard-Cell SIC Zellen in Library vordefiniert nordnung & Interconnect anw.-spezif. Cores ( Mega-Cells ) im Raster einfügbar z.b. RM, ROM, IP-Core Standardcell area Mega -cells + Entwicklung viel effizienter (Zellen fertig entwickelt, optimiert & getestet) in der Fertigung immer noch hoher ufwand + Wartezeit (alle Masken anwenderspezifisch). Steininger / TU Wien 7 Fig Steininger / TU Wien 8 Zellen-Library Standard-Cell ein Beispiel hunderte von Funktionen ND, OR, FFs mit verschiedenen Optionen,... fertig spezifiziert aus Datenbuch wählbar Fig. 1.3 Funktion, Layout, Timing, Simulationsmodell... für Standard-Cells passen zusammen wie Ziegel in einer Wand. Steininger / TU Wien Standard-Cells Gate-rray-Macros und auch FPGs (Hard & Soft Macros) erstellt - meist vom SIC-Hersteller - oder von einem Library-Vendor 9 Standard-Cell SIC Beispiel. Steininger / TU Wien 10 Was ist ein IP-Core? Eine fertig entwickelte und spezifizierte komplexe Funktionseinheit, die als Macro in das Design eingebunden werden kann (z.b. URT, Mircocontroller; vgl. IC auf einer Platine) Kann beim Designer des IP-Core (IP = Intellectual Property) gekauft werden Hard Macro: fertig geroutete Black Box Soft Macro: nur Netzliste, technologieunabh. Spart Entwicklungsaufwand, erhöht Produktivität System on a Chip: 2002 ca. 50% IP-Cores Prognose 2005 ca. 80%. Steininger / TU Wien 11. Steininger / TU Wien 12

3 IP-Cores & System on a chip DC DSP ROM DC RM 74xx CPU Flash I2C USB 74xx DC DC DSP glue CPU RM ROM Flash I2C alle für die nwendung benötigten Funktionen werden auf einem Chip (Die) untergebracht diese Funktionen sind oft als IP-Cores realisiert USB. Steininger / TU Wien 13 Gate-rrays (MGs) Basiszellen (definierte nordnung von Transistoren) in regelmäßiger nordnung nur Verbindungen anwenderspezifisch Zellen werden als Macros realisiert + effiziente Entwicklung (weiterhin Cell-Library) + vorgefertigte Wafer können auf Lager gelegt werden, nur Interconnect-Masken sind anwendungsspezifisch => schneller und billiger Basiszellen fixer Größe => weniger optimierbar. Steininger / TU Wien 14 Channelled Gate-rray Channelless Gate-rray nschlüsse der Transistoren ( contact layer ) fix vorgegeben. Zwischen den Basiszellen Kanäle fixer Höhe freigehalten. Diese Kanäle stehen für Interconnect zur Verfügung. Fig. 1.5 nschlüsse der Transistoren (contact layer) nicht vorge-geben. Zwischen den Basiszellen sind keine Kanäle freigehalten. Interconnect über unbenützte Transistoren geroutet. auch Sea of Gates Fig Steininger / TU Wien 15. Steininger / TU Wien 16 Structured Gate-rray Structured G vs. Std.-Cell auch embedded G Teil der Chip-Fläche für spezielle Funktion (RM, ROM) oder anderen Typ von Basiszelle reserviert meist verschiedene Varianten auf Lager Fig. 1.7 Special funct. Standardzellen sind weiter optimierbar als die Makros beim G. Cores sind beim Standard-Cell-SIC frei wählbar, beim Structured G (in Funktion und Größe) fix vorgegeben (Wafer sind ja vorgefertigt). Herstellung von Structured G ist wesentlich schneller und billiger (Wafer vorgefertigt).. Steininger / TU Wien 17. Steininger / TU Wien 18

4 Programmable Logic Device Read Only Memory ROM alle Layer (Interconnect und Zellen) fix vorgegeben => Herstellung abgeschlossen vorgegebene Matrix aus Makrozellen Interconnect programmierbar + billig, extrem kurze Entwicklungszeit + Einfach änderbar (manchmal sogar on-line) Komplexität und Optimierbarkeit sehr beschränkt Beispiele: ROM, PL, PL, CPLD, FPG. Steininger / TU Wien 19 Logik (= Verbindungsmatrix) wandelt dresse (= Eingang) in Daten (= usgang) um, Wahrheitstabelle programmierbar Programmierung: elektrisch / löschbar: EPROM elektrisch / permanent: PROM, OTP mit Maske, als Core: mask-progr. ROM Löschen (nur für EPROM möglich): mit UV-Licht: UV-EPROM elektrisch: EEPROM (electr. erasable PROM). Steininger / TU Wien 20 Programmable rray Logic kombinatorische Logik als rray aus ND- Gattern und OR-Gattern (logic array) dahinter Speicherelement (Latch, FF) PL: nur das ND-rray ist programmierbar PL: ND und OR-rray sind programmierbar (meist als Core). Steininger / TU Wien 21 Field Programmable Gate- rray programm. Makrozelle programm. I/O-Zelle programm. Interconnect Fig Steininger / TU Wien 22 SIC-Technologien Überblick PLD ROM PL, PL CPLD, FPG cell design fixed cell placemt fixed cell connect Full Custom Standard Cell (CBIC) library Gate- channelled fixed / rray channelless macros fixed (MG) structured Semicustom fixed / progr.. Steininger / TU Wien 23 SICs: Break-Even nalyse Fig Steininger / TU Wien 24

5 20M 10M nnahmen: Modell für den Gewinn Verkaufszahlen entgangener Gewinn Verzögerung bei Markteinführung max. Kaufinteresse Ende Kaufinteresse Zeitpunkt max. Kaufinteresses sowie Ende des Kaufinteresses unabh. von Einführung (Konkurrenz) nstieg der Verkaufszahlen begrenzt (Produktionssteigerung). Steininger / TU Wien 25 t SIC-Technologien Überblick PLD ROM PL, PL CPLD, FPG cell design fixed cell placemt fixed cell connect Full Custom Standard Cell (CBIC) library Gate- channelled fixed / rray channelless macros fixed (MG) structured Semicustom fixed / progr.. Steininger / TU Wien 26 FPG:Was ist programmierbar? Makrozellen Position fix (rray) I/O-Zellen Position fix (Rand) Verbindungen Möglichkeiten fix uswahl prog.-bar Wie wird programmiert? Die Konfiguration lässt sich vollständig durch schaltbare Verbindungen realisieren. Varianten: Verbindungen (permanent) brennen ntifuse Transistor-Schalter ansteuern SRM, EPROM. Steininger / TU Wien 27. Steininger / TU Wien 28 ntifuse-konfiguration Programmierung in eigenem Programmer Programmierstrom führt zu thermischer Zerstörung einer Isolationsschicht => Kontakt Irreversibel / OTP (one time programmable) Non-volatile & Radiation hard Mögliche lterungsprobleme durch Elektromigration Kontaktwiderstand ist kritischer Parameter Beispiel: ctel CT l, Cu Si SiO 2 Wolfram SRM-Konfiguration Speicher-Bitzelle steuert FET bzw. TG auf/zu In-System-Programmierung (ISP) möglich (vom PC aus oder aus PROM) Reconfigurable Hardware (= im Betrieb!) Reversibel / löschbar Volatile / Neuprogrammierung nach bschalten störanfällig, nicht Radiation hard SRM hat viel höheren Platzbedarf als ntifuse Beispiele: Xilinx, tmel, ltera FLEX. Steininger / TU Wien 29. Steininger / TU Wien 30

6 EPROM-Konfiguration EPROM-Bitzelle steuert FET bzw. TG auf/zu Programmierung & Löschen wie EPROM EEPROM: Elektrisch löschbar, In-System- Programmierung (ISP) möglich Non-volatile, aber löschbar (außer OTP-Typen) störanfällig, nicht Radiation hard EPROM hat ähnlichen Platzbedarf wie ntifuse Beispiele: Xilinx EPLD, ltera EPLD FPG:Was ist programmierbar? Makrozellen Position fix (rray) I/O-Zellen Position fix (Rand) Verbindungen Möglichkeiten fix uswahl prog.-bar. Steininger / TU Wien 31. Steininger / TU Wien 32 Programmierbare Logikzellen ngewandte Prinzipien: Mux-basierte Logikzellen Beispiel: ctel CT Look-up Table-basierte Logikzellen Beispiele: Xilinx LC, ltera FLEX PL-basierte Logikzellen Beispiel: ltera MX Mux-basierte Logik: Prinzip Shannon s Erweiterungstheorem: F = [ F( = 1)] [ F( = 0)] F(=0) F F(=1). Steininger / TU Wien 33. Steininger / TU Wien 34 Mux-basierte Logik: Beispiel MUX: Realisierbare Funktionen F = ( B) ( B C) D F = [ B ( D)] [ B ( C D)] 0 G F B F B = ( 1) ( D) = ( C 1) 1 ( C D). Steininger / TU Wien 35 Realisierbar sind alle Funktionen mit 2 Variablen, manche in 3 Variablen. Steininger / TU Wien 36

7 CT1: Realisierbare Funktionen CT2: Verbesserungen alle Funktionen mit 2 Variablen, Latch (=1/2 FF), fast alle mit 3 Variablen und viele mit 4 Variablen. Steininger / TU Wien 37 C-Modul mit mehr Eingängen S-Modul mit zusätzlichem Sequential Element SE = D-FF Nachteil weiterhin: Timing nicht deterministisch!. Steininger / TU Wien 38 Programmierbare Logikzellen ngewandte Prinzipien: Mux-basierte Logikzellen Beispiel: ctel CT Look-up Table-basierte Logikzellen Beispiele: Xilinx LC, ltera FLEX PL-basierte Logikzellen Beispiel: ltera MX Look-up Table (LUT) Kombinatorische Verknüpfung von n Variablen ist mittels Wahrheitstabelle eindeutig darstellbar Es gibt 2 n Eingangskombinationen Realisierung der Wahrheitstabelle als Speicher mit 2 n x 1Bit Eingangsvariable werden als dressen angelegt, Speicherinhalt bestimmt Verknüpfungsfunktion LUT auch als RM verwendbar (teuer!) konstantes Timing für alle Funktionen Beispiele: Xilinx 3000,4000,5200, ltera Flex. Steininger / TU Wien 39. Steininger / TU Wien 40 XC4000 LB: Eigenschaften Kaskadierte LUTs: eine 3-input LUT (RM 8 x 1) wird von zwei 4-input LUTs (RM 16 x 1) angesteuert LUTs der 1. Stufe als 32 bit RM verwendbar Hardwired Carry-Logic Zwei D-FFs, flexibel konfigurierbar XC4000 LB: ufbau. Steininger / TU Wien 41. Steininger / TU Wien 42

8 Programmierbare Logikzellen ngewandte Prinzipien: Mux-basierte Logikzellen Beispiel: ctel CT Look-up Table-basierte Logikzellen Beispiele: Xilinx LC, ltera FLEX PL-basierte Logikzellen Beispiel: ltera MX. Steininger / TU Wien 43 Prinzip des Wired ND VDD B... K GND Will IC 1 ausgeben, so ist sein N-FET offen. Y= B... K. Steininger / TU Wien 44 PL-Struktur Standard-PLD Unprogrammiert (Verbindung) Programmiert (Unterbrechung). Steininger / TU Wien 45. Steininger / TU Wien 46 Erweiterte Fähigkeiten Ergeben sich in kanonischer Form mehr Produktterme als zur Verfügung stehen (Eing. des OR): Durch Umformung lassen sich oft einzelne Produktterme herauslösen, die mittels Logic Expander vorverarbeitet werden (zusätzliche Durchlaufzeit!). Benötigt die inverse Funktion weniger Produktterme, so wird diese realisiert und mit einem programmierbaren Inverter (XOR) am usgang wieder richtiggestellt.. Steininger / TU Wien 47 Logic Expander: Prinzip F = ( C D) ( B C D) ( B) ( B C) hat 4 Produktterme => benötigt OR4 F = [( B) C D] [ B ( C)] = [ ( B) C D] [ B ( C)] ist äquivalent und benötigt nur OR2, sofern usdrücke in runder Klammer vorverarbeitet sind. Vorverarbeitung ist mit einem einzelnen Produktterm möglich. Steininger / TU Wien 48

9 Realisierung im Standard- PLD Programmierbare Inversion. Steininger / TU Wien 49 F = ( B) ( C) ( D) ( C D) F = ( B C D) ( D) ( C) 4 Produktterme 3 Produktterme. Steininger / TU Wien 50 ltera MX: Features ltera MX-rchitektur ND-Matrix (sehr breit; >100): Dedicated Inputs Inputs vom global Interconnect Shared Logic Expanders ( ) OR-Matrix (schmal; 3...5) Programmable Inversion Parallel Expander zur Nachbarzelle usgang Flexible Registerbeschaltung mit Bypass Feedback in den global Interconnect. Steininger / TU Wien 51. Steininger / TU Wien 52 ltera MX Makrozelle Parallel vs. Logic Expander. Steininger / TU Wien 53 F = ( C D) ( B C D) ( B) ( B C) Logic Expander: F = [ ( B) C D] [ B ( C)] Rückführung umfasst nur das ND-rray Parallel Expander: F1 = ( C D) ( B C D) ( B) (OR3 #1) F = F1 ( B C) (OR3 #2) Rückführung umfasst auch das OR-rray. Steininger / TU Wien 54

10 Das Turbo-Bit Vorteil CMOS: Leistungsaufnahme nur dynamisch (= beim Schalten), kaum statische Leistung Der Pull-Up im ND-rray bewirkt jedoch erheblichen statischen Leistungsverbrauch: 0.6 m x 560 Lines = 336 m; d.h W bei 5V => Wunsch nach großem Pull-up Steile Flanken nur mit kleinem Pull-up (RC) Turbo-Bit in jeder Makrozelle erlaubt Wahl: High Speed (t pd ca. 4 ns, I R ca. 0.6 m) oder Low-Power (t pd ca. 20 ns, I R ca. 0.3 m). Steininger / TU Wien 55 FPG:Was ist programmierbar? Makrozellen Position fix (rray) I/O-Zellen Position fix (Rand) Verbindungen Möglichkeiten fix uswahl prog.-bar. Steininger / TU Wien 56 Xilinx-IOB: Features Eingang TTL oder CMOS-Schwellen direkt oder über eigenes Input-FF / Latch Verzögerung zuschaltbar usgang komplementärer Treiber oder Totem-Pole passiver Pull-up und Pull-down (50kΩ) Tri-State-Enable mit wählbarer Polarität usgang direkt oder über FF / Latch wählbare Flankensteilheit (fast/slow slew rate) Xilinx-IOB: Schaltung. Steininger / TU Wien 57. Steininger / TU Wien 58 Xilinx-IOB: Schaltung ltera MX 5000/7000-IOB. Steininger / TU Wien 59 alle Eingänge gehen in den Chip-weiten Interconnect. Steininger / TU Wien 60

11 FPG:Was ist programmierbar? Makrozellen Position fix (rray) I/O-Zellen Position fix (Rand) Verbindungen Möglichkeiten fix uswahl prog.-bar. Steininger / TU Wien 61 Interconnect-Optimierung maximale Flexibilität beliebige Verbindungen zwischen Blöcken viele Verbindungen und nschlüsse maximale Geschwindigkeit minimale Kapazitäten der Verbindungen & nschlüsse wenige Verbindungen und nschlüsse. Steininger / TU Wien 62 Interconnect beim ctel CT Horizontale wiring channels laufen zwischen den (dichten) Reihen von Logikzellen Input stubs reichen vertikal in die je-weils benachbarten wiring channels und erlauben die Kontaktierung der 8 Eingänge. Output stubs reichen vertikal über die jeweils benachbarten zwei wiring channels und erlauben Kontaktierung des usgangs. CT Interconnect-rchitektur. Steininger / TU Wien 63. Steininger / TU Wien 64 Routing Resources beim CT1 Pro horizontalem Kanal 25 Tracks (Leitungen). 3 für VDD, GND, Clk 22 für Eingänge, usgänge, Routing Die Tracks sind in Segmente variabler Länge unterteilt. Verbindung von Segmenten ist mittels ntifuse möglich Pro vertikaler Spalte (Breite d. Logikzelle) schnei-det jeder horizontale Track 13 vertical Tracks : 4 Inputs d. Zelle darüber, 4 d. Zelle darunter 2 Outputs d. beiden Zellen darüber, 2 v. unten 1 long vertical track (LVT) CT1 Routing Ressources. Steininger / TU Wien 65. Steininger / TU Wien 66

12 ntifuses f. den Interconnect Beispiel ctel 1010 (8 x 44 Logikzellen): 22 horizontal Tracks (programmierbare) x 13 vertical Tracks = 286 Verbindungspunkte je Logikzelle 8 Zeilen x 44 Spalten = 352 Logikzellen 286 x 352 = (mögliche) ntifuses hinzu kommen ntifuses für I/O-Zellen (CT Logikzellen haben keine ntifuses) Gesamtzahl: ntifuses CT Interconnect-rchitektur. Steininger / TU Wien 67. Steininger / TU Wien 68 RC im Interconnect Berechnung des Delay t=0 V0 R 1 V 1 R 2 i 1 C 1 V 2 i 2 R 3 C 2 V R 3 4 V 4 C 3 C 4 i 3 i 4 Für jeden Knoten (V i = V1...V4) im Netz wird eine andere Zeitkonstante wirksam ( Elmore Delay ) Delay wächst quadratisch mit nzahl d. ntifuses! 1V 0V V 0 V 1 V 2 V 3 t=0. Steininger / TU Wien 69 V 4 t Widerstand: ntifuse: R 0.5kΩ Leitung: 50mΩ/sqare => vernachlässigbar Kapazität: ntifuse: ca. 10fF Leitung: 0.2pF/cm (= 20fF/mm) Delay: C 0 = 1.2pF (output stub: 4 x 25 Fs + 10mm Leitung) C 1 = C 3 = 0.58pF (horiz. Track: 13 x 4 Fs + 3mm Ltg) C 2 = 2.8pf (LVT: 8 x 25 Fs + 40mm Metall) C 4 = 0.02pF (Eingangskapazität Logikzelle) t D4 = 4RC 4 +3RC 3 +2RC 2 +RC 1 = ( )ns = 4.0ns Elmore-Delay. Steininger / TU Wien 70 Folgerungen für CT Trends beim Delay Nicht nur Logik, auch Interconnect verursacht Signalverzögerungen Verzögerungen werden minimiert durch geringen Widerstand der ntifuses geringe Kapazität der ntifuses kurze Leitungen wenige ntifuses in Serie (quadrat. bh.!) wenige ntifuses parallel auf einem Leitungsstück (Flexibilität?). Steininger / TU Wien delay [ns] gate interconnect λ [µm] Mit Verkleinerung der Feature-Size sinkt der Gate Delay rascher als der Interconnect Delay Bei den heute üblichen Technologien überwiegt der Interconnect Delay klar. Eine realistische Vorhersage des Timings ist daher erst nach dem Routing möglich, Optimierungen vor dem Routing werden immer schwieriger.. Steininger / TU Wien 72

13 Verbesserungen bei CT2 & 3 Mehr Routing-Resourcen => höherer nteil Verbindungen mit 2 ntifuses Weniger ntifuses/kanal => geringere Kapazität Verringerter Widerstand d. ntifuses ca. 200Ω statt 500Ω Fast Fuse erlaubt direkte nkopplung des usgangs an LVT => nur mehr max. 3 Fs in Serie) Höherer Programmierstrom für Fast-Fuse => geringerer Widerstand. Steininger / TU Wien 73 Zusammenfassung (1) Der Full-Custom-SIC bietet maximale Flexibili-tät für Optimierungen, wird jedoch aus ufwandsgründen nur für Spezialanwendungen eingesetzt (Massenprodukte, Mixed Signal-Designs). Der Standardzellen IC (CBIC) geht von einer Library aus vorgefertigten und getesteten Logikzellen aus. Dies vereinfacht das Design, bei der sind dennoch alle Layer kundenspezifisch. Beim Gate rray sind Basisfunktionen vorgegeben, durch kundenspezifische Metallisierungslayer kann schließlich die jeweils benötigte Funktion implementiert werden. Man unterscheidet zwischen channelled, chanelless und structured Gs.. Steininger / TU Wien 74 Zusammenfassung (2) Die Time to market ist ein entscheidendes Erfolgskriterium für ein Design. Deshalb sind programmierbare Logikbausteine nicht nur für kleinere Stückzahlen vorteilhaft. Bei den programmierbaren Logikbausteinen (Programmable Logic Devices, PLDs) unterscheidet man zwischen ROM, PL/PL und FPG/CPLD. Bei den FPGs sind die Funktion der Logikzellen, Funktion der I/O-Blöcke und Verbindungen programmierbar. Programmiert werden grundsätzlich immer schaltbare Verbindungen, und zwar ntifuse oder über EPROM bzw. SRM.. Steininger / TU Wien 75 Zusammenfassung (3) Programmierbare Logikzellen lassen sich auf der Basis von Multiplexern, Look-up Tables (LUT) oder Wired ND (PL-Struktur) realisieren. Bei den I/O-Blöcken sind üblicherweise usgangspolarität, Verzögerungen, Latches im Datenpfad, Treiberstärke/nstiegszeit, Pull-ups, Tri- State etc. programmieren. Beim Interconnect bedeutet jede programmierbare Verbindung eine Vezögerung. Es muß daher ein Tradeoff zwischen Flexibilität und Geschwindigkeit gefunden werden.. Steininger / TU Wien 76 Zusammenfassung (4) Entsprechend dem Elmore-Delay wächst die Verzögerung auf einer Verbindung etwa quadratisch mit der nzahl der RC-Elemente (Verbindungspunkte). Während die Verzögerungen durch die Gatter mit fortschreitender Techologie in den Hintergrund rücken, werden die Verzögerungen durch den Interconnect immer dominanter.. Steininger / TU Wien 77

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2

Grundlagen der Technischen Informatik. Einführung in CMOS-Technologie. Kapitel 7.2 Einführung in CMOS-Technologie Kapitel 7.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Abstraktionsebenen SYSTEM-Ebene + MODUL-/RT-Ebene (Register-Transfer) Logik-/GATTER-Ebene

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

PALs, CPLDs und FPGAs

PALs, CPLDs und FPGAs PALs, CPLDs und FPGAs P. Fischer, ziti, Uni Heidelberg, Seite 1 Bezeichnungen Sehr ähnliche Bauelemente werden oft unterschiedlich bezeichnet, z.t. nur aus Marketing-Gründen PLD = Programmable Logic Devices

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

Halbleiterspeicher. Halbleiterspeicher

Halbleiterspeicher. Halbleiterspeicher Halbleiterspeicher Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin Halbleiterspeicher RAM Random Access Memory Schreib-Lese-Speicher SRAM statischer RAM DRAM dynamischer RAM Liers - PEG-Vorlesung

Mehr

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01 eil 3: Vom ransistor zum Ein-Chip-ystem ransistoren in der igitaltechnik Gatter Flip-Flops RM Ein-Chip-ystem Hardware-Pyramide EV ystem Zentraleinheit, Peripherie komplee Funktionsbaugr. peicherzellen,

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich DFG Mini Workshop Device Treiber für rekonfigurierbare Rechensysteme HW-ICAP API zur Anwendung der Read-, Modify-, Writeback-Methode für Xilinx Virtex-II FPGAs 8. 9. Dezember TU München Michael Hübner

Mehr

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (2) Architektur des Haswell- Prozessors (aus c t) Einführung

Mehr

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12 Name: Klasse: Xaver Schweitzer 1BHWI Jahr: 2011/12 Ram/Rom/EPRom Abb. 1 Abb. 2 Abb. 3 Ram Rom EPRom 22.09.2011 1 von 10 Inhaltsverzeichnis INHALTSVERZEICHNIS... 2 EINLEITUNG... 3 RAM... 4 SRAM - Static

Mehr

8. Realisierung von Schaltnetzen mit Gattern

8. Realisierung von Schaltnetzen mit Gattern 8. Realisierung von Schaltnetzen mit Gattern Im Folgenden soll ein kurzer qualitativer Einblick in die physikalische Arbeitsweise von Gattern gegeben werden. Dabei wird dann auch der Sinn des Begriffes

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

JTAG/Boundary Scan Effektiver Baugruppentest vom Prototyp bis zum Serientest

JTAG/Boundary Scan Effektiver Baugruppentest vom Prototyp bis zum Serientest JTG/Boundary Scan Effektiver Baugruppentest vom Prototyp bis zum Serientest Martin Borowski, GÖPEL electronic GmbH Begriffe Begriffe Boundary Scan Begriffe JTG Joint Test ction Group Boundary Scan Begriffe

Mehr

SECOM: Sichere Online Verschlüsselung für ISDN-Geräte

SECOM: Sichere Online Verschlüsselung für ISDN-Geräte SECOM: Sichere Online Verschlüsselung für ISDN-Geräte Mathias Schmalisch Hagen Ploog Dirk Timmermann Universität Rostock Übersicht Laufende rbeiten Motivation Kryptographie Implementierung Zusammenfassung

Mehr

Elektronik-Grundlagen II Digitale Schaltungen

Elektronik-Grundlagen II Digitale Schaltungen Elektronik-Grundlagen II Digitale Schaltungen H. T. Vierhaus BTU Cottbus Technische Informatik Digitale und analoge Signale u (t) t u (t) high (1) low (0) t Digitale Schaltung und Signal-Regenerierung

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Elektrizitätslehre und Elektronik. Halbleiterspeicher

Elektrizitätslehre und Elektronik. Halbleiterspeicher 1/5 Halbleiterspeicher Ein Halbleiterspeicher ist ein Datenspeicher, der aus einem Halbleiter besteht, in dem mittels der Halbleitertechnologie integrierte Schaltkreise realisiert werden. Die Daten werden

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

FPGAs (Field Programable Arrays) Informationstechnik für Luft- und Raumfahrt Aerospace Information Technology

FPGAs (Field Programable Arrays) Informationstechnik für Luft- und Raumfahrt Aerospace Information Technology FPGAs (Field Programable Arrays) FPGA Field Programmable: can be programmed in the field after manufacture Gate Array, ASIC (Application Specific IC (IC = Integrted Circuit) ) an approach to the design

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Teil 3 Mikrocontroller

Teil 3 Mikrocontroller Teil 3 Mikrocontroller 3.1 Programm- und Datenspeicher 3.2 Realisierung von Speicherzellen 3.3 Programmierung Teil 3 Mikrocontroller 1 Advanced Architecture Optimizes the Atmel AVR CPU Delivering High

Mehr

Open Source - Mikrokontroller für Mixed Signal ASIC

Open Source - Mikrokontroller für Mixed Signal ASIC Open Source - Mikrokontroller für Mixed Signal ASIC Embedded Computing Conference 30. August 2011 Michael Roth Ablauf Vorstellung IME Motivation Vorstellung einiger OpenSource Mikrokontroller Evaluation

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Epromologie, Grundwissen

Epromologie, Grundwissen Epromologie, Grundwissen von Peter Bee und Erwin Reuß EPROM's, oder ROM's, sind eine sehr nützliche Sache. Eingesetzt werden sie immer dann, wenn ein Programm sofort nach dem Einschalten des Computers

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Asynchronous Chain True Single Phase Clock Logik (AC TSPC)

Asynchronous Chain True Single Phase Clock Logik (AC TSPC) Asynchronous Chain True Single Phase Clock Logik (AC TSPC) F. Grassert, A. Wassatsch, D. Timmermann Übersicht Grundlagen / Stand der Entwicklungen Verlustleistungsreduktion: Latch-freie Strukturen Weiterentwicklung:

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 3. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Kapazität, Induktivität Halbleiter, Halbleiterdiode Wechselspannung

Mehr

16-Segment- Dekoder (mit CPLD)

16-Segment- Dekoder (mit CPLD) 16-Segment- Dekoder (mit CPLD) Autor: Buchgeher Stefan Letzte Bearbeitung: 20. Juli 2005 Inhaltsverzeichnis 1. EINLEITUNG...5 2. WAHRHEITSTABELLE DES 16-SEGMENT-DEKODERS...6 3. UMSETZUNG DER WAHRHEITSTABELLE

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert

Technologie Überblick Flash & Antifuse vs. SRAM. André Ehlert Technologie Überblick Flash & Antifuse vs. SRAM André Ehlert Agenda > Abgrenzungsmerkmale Firm Error Kopierschutz Leistungsaufnahme Systemkosten Zusammenfassung FPGA Allgemeiner Aufbau und Funktion FPGA

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Martin Borowski, GÖPEL electronic GmbH GÖPEL electronic GmbH 2014 Boundary Scan Testprogrammerstellung

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 4 Technologische

Mehr

System- Realisierung

System- Realisierung 3 Entwurf, Simulation und Synthese von digitalen Strukturen 3.1 Programmierbare Logikschaltungen System- Realisierung Standard- Bauelemente ASIC Application Specific Integrated Circuit Mikroprozessor,

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger

Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik. Dr. T.J.H. Kluter A. Habegger Digitale Elektronik 2 Hirarchisches Design und Programmierbare Logik Dr. T.J.H. Kluter A. Habegger March 7, 22 Contents Hierarchisches Design. DerDivideandConquerAnsatz........................2 Kommunikation.................................3

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

Embedded Board Test Seminar 2015. Dipl.-Ing. (FH) Martin Borowski

Embedded Board Test Seminar 2015. Dipl.-Ing. (FH) Martin Borowski Embedded Board Test Seminar 2015 ipl.-ing. (FH) Martin Borowski 11.03.2016 1 Seminar: Embedded Board Test Vom esign bis End ofline 11.03.2016 2 Moderne Elektroniken? Fortschritt Quellen: markerfaire.berlin

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Automatische Testsysteme und ihre Programmierung. Dresden, 09.07.2008. Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de

Automatische Testsysteme und ihre Programmierung. Dresden, 09.07.2008. Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de Fakultät Informatik - Institut für Technische Informatik - Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Automatische Testsysteme und ihre Programmierung Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de

Mehr

Chiptunes Wie musikalisch ist mein Mikrocontroller?

Chiptunes Wie musikalisch ist mein Mikrocontroller? Institut für Elektrische Messtechnik und Grundlagen der Elektrotechnik Chiptunes Wie musikalisch ist mein Mikrocontroller? Martin Wenske WS 2011/2012 09.01.2012 Betreuer: Timo Klingeberg www.emg.tu-bs.de

Mehr

Tabelle 4-1 Analog-Eingangs-Spezifikationen. Eingangsbereiche per Software wählbar ±10 V, ±5 V, ±2 V, ±1 V Abtastrate

Tabelle 4-1 Analog-Eingangs-Spezifikationen. Eingangsbereiche per Software wählbar ±10 V, ±5 V, ±2 V, ±1 V Abtastrate Kapitel 4 Sofern nicht anders vermerkt, gelten alle Angaben für 25 C. Analogeingabe Tabelle 4-1 Analog-Eingangs- Parameter Bedingungen A/D-Wandlertyp 16-Bit-Wandler vom Typ sukzessive Approximation Anzahl

Mehr

Intelligente Videotechnologien des

Intelligente Videotechnologien des Intelligente technologien des AIT Austrian Institute t of Technology Safety & Security Department Hoch performante kompremierung und Bildverarbeitung DI Georg Art Safety & Security Department 1 Überblick

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

Electronic Design Automation (EDA) Register-Transfer-Synthese

Electronic Design Automation (EDA) Register-Transfer-Synthese Electronic Design Automation (EDA) Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer-Synthese Makrozellgenerator Beispiel Addierer (1)... (2)... (3)... (4) Beispiel Speicher Synthese

Mehr

Boundary Scan - 20 Jahre standardisierte Innovationen IEEE1149.1

Boundary Scan - 20 Jahre standardisierte Innovationen IEEE1149.1 Boundary Scan - 20 Jahre standardisierte Innovationen IEEE1149.1 Mario Berger, GÖPEL electronic GmbH BEGRIFFE Begriffe Boundary Scan Begriffe JTG Joint Test ction Group Boundary Scan Begriffe JTG Joint

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

HDO8000. Messungen an Motoren und Power Conversion Applikationen mit hochauflösenden 8 Kanal-Oszilloskopen

HDO8000. Messungen an Motoren und Power Conversion Applikationen mit hochauflösenden 8 Kanal-Oszilloskopen HDO8000 Messungen an Motoren und Power Conversion Applikationen mit hochauflösenden 8 Kanal-Oszilloskopen DSO in Power Conversion und Motor Drive Applikationen? Beide Applikationen sind getrieben von der

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von:

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von: Herzlich Willkommen Heutiges Thema: Von: Betreut von: Dr. Ivan Peric Seminar im SS_05 veranstaltet von: Fakultät für Mathematik und Informatik der Uni Mannheim Institut für Technische Informatik Lehrstuhl

Mehr

6 Speicherelemente. Digitaltechnik. Übersicht. Adressen. Read-Only Memory ROM. Random Access Memory RAM. Datenbusse. Caches.

6 Speicherelemente. Digitaltechnik. Übersicht. Adressen. Read-Only Memory ROM. Random Access Memory RAM. Datenbusse. Caches. A Digitaltechnik 6 Speicherelemente Übersicht n Read-Only Memory ROM Random Access Memory RAM Datenbusse Revision 1.4 Caches Speicher Memory RAM in PCs ROM: read-only memory RAM: random-access memory (besser

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung Überblick über uadratur-generation Oszillator A Oszillator B Roland Pfeiffer 15. Vorlesung Design einer uadratur-generation Ihr Chef stellt Ihnen die Aufgabe, ein Signal in zwei um 90 phasenversetzte Signale

Mehr

Einführung in Mikrokontroller Benutzung mit dem Arduino Board. Ramon Hofer ICVR

Einführung in Mikrokontroller Benutzung mit dem Arduino Board. Ramon Hofer ICVR Einführung in Mikrokontroller Benutzung mit dem Arduino Board Ramon Hofer ICVR Ablauf Warum Mikrokontroller Das Arduino Board Anschliessen von Bauteilen Digitale Ein- und Ausgaben Analoge Eingaben Serielle

Mehr

Speichertechnologien. Über das richtige Ablegen von Bits & Bytes. A. Steininger / TU Wien 1

Speichertechnologien. Über das richtige Ablegen von Bits & Bytes. A. Steininger / TU Wien 1 Speichertechnologien Über das richtige Ablegen von Bits & Bytes A. Steininger / TU Wien 1 Überblick Funktionsprinzipien von Speichern Klassifikation von Speichern ROM, SRAM, DRAM: Aufbau, Varianten, Anwendung,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Jürg Gutknecht, SI und ETH Zürich, April 2015

Jürg Gutknecht, SI und ETH Zürich, April 2015 Jürg Gutknecht, SI und ETH Zürich, April 2015 Der Staubsauger könnte ein Mikrofon eingebaut haben, welches sämtliche Geräusche im Raum aufnimmt und via Stromkabel an einen Geheimdienst weiterleitet Die

Mehr

VHDL - Technologische Grundlagen

VHDL - Technologische Grundlagen VHDL - Technologische Grundlagen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 2012 1 / 48 Gliederung Technologien Programmierbare Logikbausteine

Mehr