3. Kombinatorische Logik. 3.1 Grundfunktionen und Grundgesetze Boolesche Funktionen

Größe: px
Ab Seite anzeigen:

Download "3. Kombinatorische Logik. 3.1 Grundfunktionen und Grundgesetze. 3.1.1 Boolesche Funktionen"

Transkript

1 3. Kombinatorische Logik 3. Grundfunktionen und Grundgesetze 3.. Boolesche Funktionen Für eine Einführung in die mathematische Logik eistiert eine Spezialvorlesung in der Mathematik. Wir wollen uns deshalb an dieser Stelle auf eine Einführung in die zweiwertige Boolesche Algebra und die entsprechende Logik beschränken. Hier sollen die Regeln und Gesetze vorgestellt werden, welche technisch von direkter Bedeutung sind. In der Digitaltechnik wird bis auf wenige, relativ unbedeutende Ausnahmen fast nur zweiwertige Logik verwendet. Eine Variable kann also nur die logischen Werte "" und "" einnehmen. Diese Zustände werden auf zwei Spannungspegel "high" (H) und "low" (L) abgebildet. Technisch wird der "" -Pegel meistens mit der positiveren Spannung assoziiert, man spricht dann von positiver Logik. Es gibt aber auch Ausnahmen, wo die negativere Spannung als logischer "high"-wert interpretiert wird. Manchmal werden die Zustände auch mit "L" (low) für "" und "H" (high) für "" bezeichnet. Die logischen Grundoperationen sind: die UND - Funktion, meistens mit dem Zeichen " " oder auch mit "*" gekennzeichnet. Oft wird hier aber (wie bei der Multiplikation) das Verknüpfungszeichen weggelassen. die OR - Funktion, meistens mit dem Zeichen" ", oft aber auch noch mit "+" gekennzeichnet die Negation, meistens mit dem Smbol " " oder mit einem Strich über der Variablen gekennzeichnet. Die Zeichen "+" für das logische ODER und "*" für das logische UND führen oft zu Verwechslungen, wenn man nicht genau weiß, ob eine binäre Variable als binäre Zahl oder als Boolesche Variable zu sehen ist. Wir wollen uns hier deshalb eine möglichst eindeutige Schreibweise angewöhnen. Man kann alle logischen Operationen zwischen zwei Booleschen Variablen auf UND, ODER und Negation zurückführen. Es ist, wie in der Aussagenlogik dargestellt, sogar möglich, nur entweder AND oder OR in Kombination mit der Negation zu verwenden. Da die Boolesche Algebra die Grundlage technischer Prozesse der Digitaltechnik ist, die mittels einfacher Schalter aufgebaut werden, bezeichnet man sie auch als "Schaltalgebra". Natürlich kann man zwischen 2 oder mehr zweiwertigen Variablen noch weitere Relationen definieren. Man kann nämlich zwischen zwei Booleschen Variablen tatsächlich 6 Verknüpfungen definieren, die allerdings in der technischen Prais dann fast ausschließlich aus den logischen Grundfunktionen abgeleitet werden. Diese Grundfunktionen kann man zunächst über entsprechende Wertetabellen, sogenannten Wahrheitstabellen definieren. Für die Funktionen mit zwei Eingangsvariablen und und der Ausgangsvariablen z sollen die Wahrheitstabellen für die Grundfunktionen dargestellt werden. Natürlich sind die Grundfunktionen UND und OR auch für beliebig viele Eingänge definiert. Für die UND(AND) - Funktion gilt: Eingänge Ausgang

2 z = Der Ausgang hat nur dann den Wert "", wenn alle Eingänge auf "" sind. Als daraus abgeleitete Grundfunktion läßt sich die NAND-Funktion definieren, die sich praktisch meistens einfacher realisieren läßt: Eingänge Ausgang z = ( ) = ( ) Dies ist die negierte AND-Funktion. Der Ausgang ist nur dann "", wenn alle Eingänge auf "" sind. Die ODER (OR)-Funktion wird wie folgt definiert: Eingänge Ausgänge z = Hier ist also ein Ausgang auf "", wenn mindestens ein Eingang auf "" ist. Praktisch ebenso wichtig ist die negierte OR oder NOR - Funktion: Eingänge Ausgänge z = ( ) = ( ) Aus den Tafeln kann man folgende Grundregeln ableiten: = = = = = = Es gilt das kommutative Gesetz: Konjunktion (UND-Verknüpfung): a b = b a Disjunktion (ODER-Verknüpfung): a b = b a Das assoziative Gesetz bestimmt die Zusammenfassung der Operanden bei mehr als zwei Eingangsvariablen (, 2, 3): 2

3 Konjunktion: 2 3 = (2 3) = ( 2) 3 Disjunktion: 2 3 = (2 3) = ( 2) 3 Auch die Gültigkeit des aus der "gewöhnlichen" Algebra bekannten distributiven Gesetzes kann nachgewiesen werden:. Distributives Gesetz: (2 3) = ( 2) ( 3) 2. Distributives Gesetz: (2 3) = ( 2) ( 3) Man kann bezüglich der UND und der ODER -Verknüpfung neutrale Elemente definieren. Wenn a eine Boolesche Variable ist, so gilt: a = a a = a Für die Komplementbildung gilt: _ a a = _ a a = Wichtig für die Minimierung und Optimierung logischer Funktionen sind die Gesetze von De Morgan:. De Morgansches Gesetz: 2... n = 2... n 2. De Morgansches Gesetz: 2... n = n Als Shannonsches Gesetz kann man die Regeln von De Morgan auch allgemeiner fassen: f (, 2,..., n ;, ) = f (, 2,..., n ;, ) Darüber hinaus gibt es weitere nützliche Regeln, mit deren Hilfe man Boolesche Funktionen vereinfachen kann. Man könnte diese unter dem Begriff "Kürzungsregeln" zusammenfassen. Regel : ( 2 ) = Regel 2: ( 2) = Regel 3: ( 2 ) = 2 Regel 4: ( 2 ) = 2 Regel 5: ( 2 ) ( 2 ) = 3

4 Regel 6: ( 2 ) ( 2 ) = 3..2 Binäre Verknüpfungsfunktionen Wir haben bereits im letzten Unterpunkt angesprochen, daß man insgesamt 6 verschiedene Verknüpfungen zwischen 2 logischen Variablen definieren kann. Wie in der Digitaltechnik oft üblich, bezeichnen wir die Eingangsvariablen mit a und b und die Ausgangsvariable mit Y und einem Inde i. Verknüpfung Funktionswert für Bezeichnung a b Y = Nullfunktion / Null Y = a b Konjunktion, UND-Verknüpfung _ Y 2 = a b Inhibition, Ausschluß Y 3 = b Identität, Tautologie _ Y 4 = a b Inhibition, Ausschluß Y5 = a Identität, Tautologie Y 6 = (a b ) (a b) Antivalenz, Eklusiv Oder Y 7 = a b Disjunktion, ODER-Verknüpfung _ Y 8 = a b = a b Peirce-Verknüpfung, NOR Y 9 = (a b) (a b) a b Äquivalenz _ Y = a a, a' Negation _ Y = a b = a b Implikation, wenn - dann _ Y 2 = b b, b' Negation _ Y 3 = b a = b a Implikation _ Y 4 = a b = a b Sheffer-Funktion, NAND-Verknüpfung Y 5 = Eins-Funktion, Einheit Technisch wird man mit vorhandenen Grundbauelementen alle diese Funktion mehr oder weniger gut darstellen können. Wir haben zunächst die AND und die OR-Funktion sowie die Negation eingeführt. Mit AND oder OR jeweils plus der Negierung lassen sich alle anderen Funktionen 4

5 darstellen. Günstig ist auch, dass für AND und OR das kommutative, das assiozative und das distributive Gesetz gelten. Auch sind AND und OR für beliebig viele Eingangsvariable definiert. Ein Blick in die Elektronik zeigt allerdings, daß sich am einfachsten die NAND und die NOR - Funktion realisieren lassen, je nach der gewählten Schaltungstechnik (z. B. NAND in TTL-Logik, NOR in ECL-Logik, NAND und NOR in CMOS-Logik). Tatsächlich genügt sogar eine dieser beiden Funktionen, um alle anderen Funktionen darzustellen. Für 2 Eingangsvariable entsprechen NAND und NOR dem sogenannten Sheffer- bzw. Peirce- Operator. NAND und NOR können auch mehrstellig sein. Baut man eine Logik auf diesen Operatoren auf, so muß man sich vorsehen: Das Assoziativgesetz gilt nicht mehr. Die Antivalenz- und die Äquivalenzfunktion (auch OR bzw. NOR genannt) erfüllen wieder das Assoziativgesetz. Beide sind auch mehrstellig definiert: Das Ergebnis einer Äquivalenzfunktion (NOR) ist genau dann, wenn die Anzahl der Nullen im Argument gerade ist. Das Ergebis der Antivalenzfunktion (OR) ist genau dann, wenn die Anzahl der Einsen im Argument ungerade ist Normalformen Boolescher Gleichungen Will man mit logischen Schaltungen solch halbwegs sinnvolle Objekte wie Computer bauen, so ist eine einfache und übersichtliche Darstellung logischer Funktionen notwendig. Für die Darstellung logischer Funktionen in der Prais benutzt man vorwiegend die AND- und die OR-Funktion in einer besonderen Verknüpfung. Als Normalform einer Booleschen Funktion gilt eine Darstellung, bei der nur Negation, konjunktive und disjunktive logische Verknüpfungen vorkommen. Eine besondere Bedeutung dabei spielen sogenannte Minterme und Materme. Ein Minterm ist die konjunktive Verknüpfung aller Eingangsvariablen, wobei jede Eingangsvariable in negierter oder nicht-negierter Form vorkommen muß. Für eine Boolesche Funktion mit den Eingangswerten A, B, C gibt es insgesamt 8 mögliche Minterme: A B C, A B C, A B C, A B C, A B C, A B C, A B C, _ A B C. Ein Materm dagegen ist die disjunktive Verknüpfung aller Eingangsvariablen, wobei jede Eingangsvariable in negierter oder nicht-negierter Form vorkommen muß. Die möglichen Materme für drei Eingangsvariablen A, B, C sind: _ A B C, A B C, A B C, A B C, A B C, A B C, _ A B C, A B C Die sogenannte Normalform einer Booleschen Gleichung erhält man entweder durch die disjunktive Verknüpfung von Mintermen als sogenannte "disjunktive Normalform" oder als konjunktive Verknüpfung von Matermen als sogenannte "konjuktive Normalform". 5

6 Für den Entwurf digitaler Schaltungen geht man meistens von der disjunktiven Normalform aus. Über die Shannon-Formel kann man die konjunktive und die disjunktive Normalform ineinander umwandeln. Zur Aufstellung der Normalform geht man von der Wahrheitstabelle aus. Beispiel: 2 3 Y Damit erhält man folgende disjunktive Normalform: Y = Die Funktion erhält also bei den obigen Mintermen den logischen Wert. Wenn die Wertetabelle mehr Minterme enthält, bei denen Y = wird als solche, bei denen Y = wird, so ist es günstiger, die Normalform für Y =, also _ Y = aufzustellen. An dieser Stelle soll nur erwähnt werden, daß man insbesondere die disjunktive Normalform technisch verwendet, um bei einer vorgegebenen Wertetabelle die Logik-Schaltung zu finden, welche diese Logik mit minimalem Aufwand realisiert. 3.2 Grundelemente Wir wollen nachfolgend die Grundelemente kombinatorischer Logik behandeln. Eine Logik ist dann kombinatorisch, wenn sie keine speichernden Schaltelemente enthält. Dies bedeutet auch, daß (bis auf unvermeidbare Rückwirkungen der Grundelemente wie Transistoren) keine Rückkopplungen vorkommen. Eine Zustandsänderung am Eingang eines kombinatorischen Blocks wird also, so die logische Funktion dies zuläßt, stets in eine Zustandsänderung am Ausgang umgesetzt. In Rechnern heutiger Generation wird fast ausschließlich 2-wertige Logik verwendet, obwohl Logiken beliebig hoher Ordnung mathematisch darstellbar sind. Beschrieben werden kombinatorische Schaltungen z. B. durch 2-wertige Boolesche Logik. Die zulässigen Zustände sind "" oder "low" und "" oder "high". Bemerkung: 6

7 In Logik-Simulatoren werden oft noch die Zustände "" (kann stehen für "unbekannt" oder "beliebig" und "R" für "steigende Zustandsänderung" und "F" für "fallende Zustandsänderung" benötigt. Grundelemente kombinatorischer Logik sind die Funktionen: "UND" mit dem Funktionszeichen * oder, zur Unterscheidung von der Multiplikation, "ODER" mit dem Funktionszeichen + oder, zur Unterscheidung von der Addition, "Negierung" mit dem Funktionszeichen ' oder Querstrich über der Variablen. Logik-Funktionen sind nicht mit arithmetischen Funktionen zu verwechseln. Es gibt aber Bezüge: Für eine einzelne Bitstelle entspricht die "ODER"- Verknüpfung der Addition, die "UND"- Verknüpfung der Multiplikation. Achtung: Zuweilen wird in der Schreibweise von Gleichungen bei der Multiplikation bzw. der UND- Verknüpfung der Punkt oder Stern weggelassen! Eine weitere sehr häufig verwendete logische "Makro"-Funktion ist die Eklusiv-Oder-Funktion (OR) bzw. deren Negierung (NOR). Sie läßt sich auf unterschiedliche Weise aus den Grundfunktionen kombinieren. Logik-Elemente spielen in Rechnern natürlich die dominierende Rolle: Einmal sind kompleere arithmetische Einheiten (Addierer, Subtrahierer) und andere Funktionsblöcke (Coder, Decoder, Multipleer, Demultipleer), die in Rechnern als Makro- Bausteine verwendet werden, oft aus Logik-Bausteinen aufgebaut. Auch sequentielle Schaltungselemente enthalten logische Bausteine, dann aber mit Rückkopplungen. Die Beschreibung von Logik geschieht für Grundelemente und oft auch für komplee Funktionsblöcke oft durch logische Wertetabellen, meistens als Wahrheitstabellen bezeichnet.. Beispiele: Eingänge Ausgang Eingänge Ausgang AND-Gatter out OR-Gatter out out out Aus technologischen Gründen werden die Grundgatter in realen Schaltungen oft als invertierende Gatter realisiert, d. h. als NAND und NOR. Eingänge Ausgang Eingänge Ausgang 7

8 NAND-Gatter out NOR-Gatter out out out Eine wesentliche Eigenschaft ist hier schon sichtbar: Alle Eingänge eines Gatters, und das können für die Grundfunktionen auch mehrere (3, 4, 6 fach AND, OR, NAND, NOR sind durchaus üblich) sein, sind logisch äquivalent. Man kann sie also beliebig miteinander vertauschen. Praktisch gilt das nicht ganz: Bei z. B. einem 6- fach NAND-Gatter in CMOS-Technik wird es stets etwas "langsamere" und "schnellere" Eingänge geben. Das heißt, ich kann für eine L-H oder H-L- Zustandsänderung am Gatter-Ausgang durchaus unterschiedliche Verzögerungszeiten erhalten, je nachdem, über welchen der 6 Eingänge die Umschaltung des logischen Zustandes vorgenommen wird.! Der Vollständigkeit halber sei auch die "fast" Grundfunktion eklusiv OR (OR im Englischen) aufgeführt. Auch deren Negierung, das NOR, tritt häufig auf: Eingänge Ausgang Eingänge Ausgang Gatter OR out NOR out = out = out Es ist anzumerken, daß OR und NOR eigentlich nur für 2 Eingänge definiert sind, während die Grundfunktionen beliebig viele Eingänge haben dürfen (theoretisch, praktisch gibt es Grenzen). OR und NOR haben eine große praktische Bedeutung: Sie zeigen nämlich an, ob die Eingangsbits gleich oder verschieden sind und sind damit sowohl bei Bit-Vergleichsoperationen als auch in Addierern vorzüglich einsetzbar. Mit dem OR hat man, bis auf den Übertrag, auch fast schon eine einfache Arithmetik-Schaltung, den Addierer, realisiert. Für "richtige" Addierer-Schaltungen ist die Behandlung von Überträgen zu ergänzen. Wahrheitstabellen der obigen Art werden auch für komplee Kombinatoriken verwendet. Eine andere Art der Beschreibung sind die Booleschen Gleichungen. 3.3 Realisierung von Logik und Eigenschaften realer Bauelemente 8

9 3.3. Realisierungen Logische and arithmetische Schaltungen werden in Rechnern in unterschiedlichsten Ausführungen benötigt. Man unterscheidet zunächst einstufige und mehrstufige Logik. Für komplee Logik-Funktionen werden mehrere Stufen benötigt. In der graphischen Darstellung kompleerer logischer Funktionen werden Invertierungen oft nicht als separate Elmente dargestellt, sondern als Kreise an den Logik-Funktionen. Die nachfolgende Abbildung zeigt also die Funktion out = * out Abb. 3.: Logik-Smbol mit Invertierung am Eingang Y Abb. 3.2: Zweistufige kombinatorische Logik-Schaltung aubauend auf die disjunktiven Normalform Y Abb. 3.3: Zweistufige kombinatorische Logikschaltung aufbauend auf die konjunktive Normalform Auch die Darstellung noch kompleerer Logik-Funktionen, z. B. in zweistufiger Darstellung aufgrund disjunktiver oder konjunktiver Normalfomen ist möglich. Die Realisierung kann ganz unterschiedlich erfolgen: 9

10 a. Aufbau aus logischen Grundgattern Dies ist zweifellos die "einfachste" und allgemeinste Methode, da man Logik-Beschreibungen quasi direkt und ohne wesentliche Einschränkungen umsetzen kann. Sie ist jedoch nicht unbedingt auch die effektivste. Sie eignet sich besonders dann, wenn logische Netze mit vielen Eingängen und nur einem bis wenigen Ausgängen benötigt werden. b. Aufbau aus Komplegattern In der nmos- und der CMOS-Technologie kann man einstufige Transistorschaltungen entwerfen, welche mehrstufige logische Funktionen umsetzen. Damit spart man in der Regel Bauelemente (Transistoren) ein, meistens sind diese Komplegatter-Realisierungen aber langsamer als die aus Einzelgattern. c. Reguläre Makros Eine weitere Realisierungsmöglichkeit bieten reguläre Makros, z. B. sogenannte "Programmable Logic Arras" (PLAs). Man hat im wesentlichen nur eine zweistufige Logik, aber dabei fast gleich viele Ausgänge wie Eingänge. PLAs und vergleichbare Methoden eignen sich also dann, wenn eine Logik geringer Tiefe, aber großer Breite (viele Ein-und Ausgänge) benötigt wird. d. Leitungsstrukturen In der "normalen" (z. B. CMOS-) Schaltungstechnik ist es verboten, Gatterausgänge direkt zusammenzuschalten. In der Technik der bipolaren Logik ist dies aber unter Umständen möglich. Dann kann, wie z. B. in der TTL-Technik, ein auf 'low" liegender Gatterausgang den Ausgangsstrom eines auf "high" liegenden, angeschlossenen anderen Ausgangs aufnehmen. Der Ausgang wird logisch "low". Er bekommt nur dann den Spannungwert "high", wenn beide treibenden Gatter am Ausgang auf "high" sind. Dies wäre dann ein "wired-and". Entsprechend kann man auch "wired- OR" Funktionen realisieren.in der CMOS-Schaltungstechnik sind solche Methoden allerdings eplizit verboten, z. B., weil sie zu erhöhter Leistungsaufnahme und schlechter Testbarkeit der Schaltungen führen. e. Memories Es gibt tatsächlich Methoden, ein Logik über ein Memor zu realisieren. Dazu wird aus der Logik-Funktion eine Adresse generiert, und man schaut dann nach, ob in der entsprechenden Speicherzelle eine "" oder "" steht. Dies ist eine Möglichkeit, programmierbare Logik-Bausteine zu realisieren. Die tatsächliche Implementierung einer Logik wird stark von der verwendeten Schaltkreis- Technologie abhängen. In den meisten Technologien (z. B. CMOS) sind NAND und NOR-Gatter einstufig zu realisieren, ANDs und ORs benötigen 2 Stufen. Deshalb wird der Realisierung durch NANDs und NORs der Vorzug gegeben, wobei NANDs wegen der in CMOS meist schnelleren n-kanal-transistoren generell günstiger sind. (Als Erinnerung: In CMOS-Technik sind die p-kanal-transistoren bei gleicher Leitfähigkeit und gleicher Kanallänge etwa dreimal breiter als die n-kanal-transistoren). Ebenfalls ein Problem ist die Realisierung von Gattern mit sehr hohem Fan-in: Bei einstufiger Realisierung würde z. B. ein 8-fach NAND durch den sogenannten "Substrateffekt" in CMOS-Technik sehr langsam. Dann ist eine mehrstufige Realisierung aus Gattern mit niedrigem Fanin schneller. In der Regel werden deshalb den Anzahl nach mehr Gatter als "unbedingt erforderlich" für die Realisierung der Logik-Funktion eingesetzt Verhalten und Charakterisierung realer Schaltungen

11 Reale Logik-Bausteine sind nicht allein durch ihre Wertetabelle gekennzeichnet. Insbesondere ist von Bedeutung, in welcher Zeit sie bei welcher Belastung den Spannungspegel am Ausgang von logisch "high" nach "low" und umgekehrt ändern können. Logische Pegel U / V "high" ( ) undefiniert "low" ( ) Abb. 3.4: Spannungen und "logische"pegel Für jede spezielle Schaltkreis-Technologie, bei der einzelne Gatter als "Bauelemente" zur Realisierung von Logik-Schaltungen erhältlich sind (TTL, ECL, CMOS) sind "logische" Spannungspegel definiert. So wird eine Spannung unterhalb eines bestimmten "low" - Grenzwertes am Eingang eines Gatters von diesem als eine logische "" interpretiert werden. Andererseits wird eine Spannung oberhalb eines "high"-grenzwertes stets als logische "" angesehen werden. Für dazwischenliegende Spannungen ist die Interpretation unsicher. In integrierten CMOS-Schaltungen wird man Spannungen unter,5 V sicher als logisch "", über 4,5 V sicher als "high" annehmen können, sofern in der Schaltung weder Entwurfsfehler noch Defekte aus der Fertigung vorliegen. Pegel und Schaltzeiten Jedes logische Schaltelement benötigt eine gewisse Zeit, um auf Spannungsänderungen am Eingang zu reagieren. Diese Reaktion ist abhängig von der Frequenz und der Form des Eingangssignals. Zunächst muß ein Eingangssignal für eine ausreichend lange Zeit anliegen, beliebig kurze Spannungspulse werden ggf. ohne eine Reaktion des Gatters "geschluckt". Außerdem muß das Signal einen bestimmten Spannungpegel, die sogenannte "Schwellenspannung" Us erreichen, damit das angesteuerte Logik-Gatter umschalten kann. Diese Schwellenspannung liegt bei smmetrisch aufgebauten Gatterstrukturen (z. B. CMOS) etwa in der Mitte zwischen dem "high"- und dem "low"-spannungspegel. Dies ist kein Grundgesetz, bei unsmmetrisch aufgebauten Gattern kann sich dieser Umschaltpegel durchaus zu höheren oder niedrigeren Spannungen verschieben. U Uhigh Uh Spannungshub Abb. 3.5: Form digitaler Signale Us Umschaltspannung Ulow t

12 Digitale Schaltungen sind in hohem Maße nicht-linear. Die Transistoren eines Gatters sind in der Lage, bereits eine relativ kleine Eingangsspannung auf den Endwert des Ausgangssignals zu verstärken. Das darüber hinaus vorhandene Potential zur Signalverstärkung wird genutzt, um am Schaltungsausgang möglichst steile Flanken im Signalverlauf zu erhalten. U Uh,9 Uh tr, Uh tf t Abb. 3.6: Anstiegs- und Abfallzeiten digitaler Signale Ein Charakrteristikum von digitalen Signalen, wie sie von Gatter-Schaltungen erzeigt werden, sind die Anstiegs- und die Abfallzeiten tr und tf. Sie sind nicht nur von den Eigenschaften des jeweiligen Gatters selbst abhängig, sondern auch von der Belastung am Gatterausgang, dem sogenannten "Fan- Out". Werden im Gatter selbst breitere Transistoren, die beim Umschalten mehr Strom führen, verwendet, so wird der Schaltvorgang schneller, gleichzeitig steigt aber auch die Verlustleistung der Schaltung. Im Normalfall wird ein Gatter so ausgelegt, daß es eine Belastung durch zwei normierte Eingangslasten, d. h. zwei normale Gatter-Eingänge treiben kann, also ein sogenanntes "Fan-Out" von 2 besitzt. Bei höherem Fan-Out vergrößern sich entweder Anstiegs- und Abfallzeiten, oder es müssen Gatter mit höherer Treiberfähigkeit verwendet werden, die z. B. ein Fan-out von vier zulassen. Ein einfaches Grundgatter (z. B. NAND) belastet normalerweise seinen Treiber mit einer "Normallast", man sagt auch, es hat ein "Fan-in" von. Andere Bausteine können für treibende Gatter durchaus eine höhere Belastung darstellen, also ein "Fan-in" von 2, 3 oder 4 haben. out fan-in out fan-out 3 out fan-in fan-in Treiber fan-out 6 Abb. 3.7: Logik-Netzwerk mit Fan-In und Fan-Out In jedem Fall muß bei der Auswahl der Elemente von Digitalschaltungen gewährleistet sein, daß für jeden Verbindungspunkt zwischen Gattern die vorhandene Last, das ist die Summe der angeschlossenen Fan-in-Werte den Wert des zulässigen Fan-Outs nicht übersteigt. 2

13 U Uh out in out,9 Uh,5 Uh tdr, Uh in tdf out in t Abb. 3.7: Gatter-Verzögerungszeiten Die durch die internen Verzögerungen hervorgerufenen Laufzeiten in Gattern beziehen sich auf die Durchgänge der Eingangs- bzw. Ausgangspegel durch den Wert des halben Spannungshubes. Damit gehen auch Anstiegs- und Abfallzeiten indirekt in die Gatter-Verzögerungszeiten ein. Gatter-Laufzeiten in den heute üblichen CMOS-Technologien liegen in der Regel unter einer Nanosekunde ( **-9 s). Laufzeiten um ps sind mit bipolaren Logiken seit längerem erreicht worden und werden auf ICs inzwischen auch von CMOS-Schaltungen erreicht. Dies hat den Effekt, daß die wesentlichen Verzögerungen auf ICs (wie früher schon auf den Platinen) nicht mehr durch die aktiven Schaltelemente, sondern durch die Signallaufzeiten auf den Verbindungsleitungen bedingt sind. Bisher nicht ausführlich behandelt wurde der Aspekt der Störsicherheit und der sogenannte "Störabstand" in digitalen Schaltungen. Uh Spannung "Glitch" Nutzsignal Uth Störungen Ul Abb. 3.8: Nutz-und Störsignale in digitalen Schaltungen Abb. 3.8 soll die Realität der Signals in digitalen Sstemen andeuten. Dem "reinen" Nutzsignal auf einer Leitung sind fast immer erhebliche parasitäre Störsignale überlagert. Während in analogen Sstemen die Höhe der Spannung direkt für die zu übertragende Information maßgeblich ist, hat man in digitalen Sstemen genau diese Abhängigkeit weitgehend aufgebrochen. Das Ergebnis ist die charakteristisch hohe Festigkeit digitaler Signalverarbeitung gegenüber Störungen. Diese kann durch fehlertolerante Übertragungsverfahren nochmals wesentlich verbessert werden. Von wesentlicher bedeutung für die Störfestigkeit digitaler Schaltungen ist sowohl der logische "Swing", das ist die Spannungsdifferenz zwischen High- und Low-Pegel. Bei digitalen CMOS- Schaltungen mit 5 V Versorgungsspannung liegt er bei nahezu 5 V. Neuere CMOS-Schaltungen, die mit 3,5 oder gar,5 V Versorgungsspannung betrieben werden, haben eine entsprechend geringere Störfestigkeit. t 3

14 In digitalen Schaltungen ergeben sich tpischerweise auch Störungen, die aus kurzen Impulsen mit hoher Spannung bestehen ("Glitches", "Spikes"). Sehr kurze Impulse werden teilweise von den betroffenen Gattern quasi ignoriert, wenn nämlich die mit dem Puls verbundene elektrische Ladung nicht ausreicht, die Eingangskapazität des Gatters aufzuladen oder zu entladen. Tpischerweise sind natürlich schnellere Gatter auch anfälliger gegen solche Störspitzen. Den Störspannungsabstand bei digitalen Schaltungen sind für den statischen Fall durch die sensitiven Pegel an Gatter-Eingängen und Gatter-Ausgängen bestimmt. Gatterausgang Gattereingang VDD Uohmin Uout H-Pegel Sh H-Pegel VDD Uihmin Uolma L-Pegel Sl L-Pegel Uilma Abb. 3.9: Statische Störabstände Abb. 3.9 zeigt die für den statischen Störabstand, das heißt die maimal tolerierbare Höhe statischer (langsamer) Störsignale maßgeblichen Spannungen. Das treibende Gatter hat als obere Grenze des low-pegels die Spannungs Uolma. Auch bei Überlagerung mit Störsignalen muß ein angesteuertes zweites Gatter diesen Wert noch als eindeutig "low" erkennen. Die als noch "low" erkannte minimale Spannung des angesteuerten Gatters Uilma muß also höher liegen. Der Unterschied zwischen diesen Spannungen ist der statische Störabstand Sl für den Low-Pegel. Auf der anderen Seite muß das treibende Gatter eine minimale Spannung für den High-Pegel Uohmin bereitstellen, die höher ist als der minimal vom angesteuerten Gatter als "high" erkannte Wert Uihmin. Der Abstand ist der High-Störabstand Sh. Bei Gattern der bipolaren Logik (TTL) betragen die Abstände Sl =,4 V und Sh =,4 V. Der dnamische Störabstand für Spannungsspitzen ist abhängig von Amplitude und Dauer der Störung und läßt sich ggf. graphisch aus charakteristischen Kurven ermitteln. 3.4 Logik-Minimierung 3.4. Übersicht Oft wird man für eine spezielle logische Funktion die optimale Boolesche Gleichung direkt "erdenken und hinschreiben können", z. B. ausgehend von einer Zustandstabelle. Neben der "handgestrickten" Logik gibt es heute Methoden und Werkzeuge zur Logik-Snthese. Ist eine formale logische Beschreibung (durch Boolesche Gleichungen oder eine Zustandstabelle) gegeben, so kann diese in eine strukturelle Beschreibung auf der Ebene der logischen Gatter, eine sogenannte Gatter-Netzliste, umgesetzt werden. 4

15 Eine wichtige Aufgabe bei dieser Umsetzung ist die Minimierung der Funktion. Dies bedeutet in der Regel, daß eine gegebene logische Funktion so umzuformen ist, daß die Realisierung keine Redundanzen mehr aufweist, also mit der minimal möglichen Anzahl logischer Grundfunktionen und Bauelemente auskommt. Diese logische Minimierung dient mehreren Zwecken:. Minimierung der Aufwandes an Hardware für die Realisierung einer Schaltung, was meistens auch minimale Kosten (z. B. an Chip-Fläche und Stromverbrauch) beinhaltet. 2. Minimierung der Schaltzeiten. In der Regel ist die logisch minimale Funktion auch nahe an der schnellsten Realsisierung. 3. Testbarkeit: Aktive Redundanzen in einer Schaltung führt zu untestbaren Teilen der Logik. Erläuterung zu 3.: Bei "handentworfenen" Schaltungen in TTL-Technik, also mit diskreter Realisierung, war es lange üblich, redundante Logik einzuführen, um unerwünschte dnamische Schaltungszustände (Glitsches, Hazards) zu vermeiden. Fällt nun ein solches "redundantes" Gatter aus, so erfüllt die Schaltung ihre Funktion trotzdem noch. Ein Prüfverfahren für statische Fehler kann also den Ausfall nicht entdecken. Der jetzt auftretende "Glitch" wird oft an den Ausgängen der Schaltung nicht sichtbar sein, kann aber sehr wohl zu einem unvorhersehbaren "Ab- und -zu- Versagen" der Schaltung führen. Man unterscheidet mehrere sstematische Ansätze zur Logik-Minimierung:. Minimierung mittels der Booleschen Algebra. Darunter versteht man mehr oder weniger sstematische Umformungen nach den Regeln der Boolschen Algebra zur Reduzierung der Variablen. Dieses Verfahren eignet sich für Gleichungen mit bis zu etwa vier Variablen. 2. Algorithmische Verfahren Verfahren dieser Art sind für die Behandlung auf dem Digitalrechner besonders geeignet. Am bekanntesten ist das Verfahren nach Quine-McCluske. Die Anzahl der Eingangsvariablen darf fast beliebig groß sein. Man unterscheidet zwischen Verfahren, welche sich zur Minimierung zweistufiger Logik eignen und solchen, welche beliebige Logik-Funktionen mimimieren können. Bekannte algorithmische Verfahren und Werkzeuge (z. B. ESPRESSO der Universit f California, Berkele) haben dann Probleme, wenn Logik mit vielen Eingängen und mehreren Ausgängen optimiert werden muß. 3. Graphische Verfahren Für die Minimierung kleinerer Logiken "von Hand" haben sich graphische Verfahren als gut brauchbar erwiesen. Beispiele sind das Venn-Diagramm und das Verfahren nach Veitch-Karnaugh. Letzteres eignet sich für die Minimierung von Logik-Funktionen mit bis zu 5 Eingangsvariablen. Die Minimierung kompleer Logik mit mehreren Ausgängen ist ein algorithmisch sehr komplees Problem. Bekannte Methoden und Verfahren liefern mehr oder weniger brauchbare Näherungslösungen, aber kaum eakte Optimierungen. Dies gilt auch für die kommerziell erhältlichen Werkzeuge, z. B. der Fa. SYNOPSYS. Das zur Zeit wohl verbreitetste Programmpaket zur Logik-Optimierung ist ESPRESSO der Universit of California, Berkele. 5

16 Seit ca. 993 eistieren erste Arbeiten, welche Methoden und Algorithmen, die ursprünglich für die automatische Prüfmustergenerierung entwickelt wurden, auch zur Logik-Optimierung verwenden. Gegenüber ESPRESSO wird teilweise eine weitere Kompaktierung bis zu 2% erreicht Das Karnaugh-Diagramm Diese graphische Methode zur Minimierung logischer Funktionen wurde zunächst von Veitch entwickelt und dann von Karnaugh vereinfacht. Sie wird heute oft auch als "Veitch-Karnaugh- Methode" bezeichnet. Das dazu gehörende Diagramm wird meistens als "KV-Diagramm" bezeichnet. Das KV-Diagramm ist im Prinzip eine graphische Darstellung der Wahrheitstabelle in Form eines Schachbrett-artig unterteilten Rechtecks. Jedes Feld in diesem Rechteck enstpricht einem Minterm. Die Anordnung erfolgt so, daß horizontal und vertikal benachbarte Felder sich jeweils nur in einer Variablen unterscheiden. Wahrheitstabelle KV - Diagramm 2 Minterm Abb. 3.: Wahrheitstabelle und KV-Diagramm für 2 Eingangsvariable Nachfolgend die Grundregeln zum Aufstellen der disjunktiven Minimalform und des KV-Diagramms:. Ausgehend von der Wahrheitstabelle wird die Zahl der Eingangsvariablen ermittelt und danach das entsprechende KV-Diagramm aufgestellt. Die logischen Variablen werden am Rand des KV- Diagramms in fortlaufender Reihenfolge angeordnet. Man beginnt mit der Variablen, die in der Wahrheitstabelle rechts steht (z. B. weil sie die niedrigste Wertikeit hat) am oberen Rand und beschriftet das KV-Diagramm entgegen dem Uhrzeigersinn. 2. Anhand der Wahrheitstabelle (oder alternativ aus logischen Gleichungen) werden die Werte der Ausgangsvariablen für alle Kombinationen der Eingangsvariablen ermittelt und in die Felder des KV-Diagramms eingetragen. Die logischen Werte können "", "" und "*" oder "" sein. Letztere Zeichen gelten für "beliebig", "unbestimmt" oder "redundant". 3. Benachbarte -Felder werden zu einem Block zusammengezogen, wobei redundante Felder beliebig eingezogen werden dürfen. Ein Block enthält stets 2**n Felder. 4. Zwei Blöcke, die sich nur in einer Variablen unterscheiden, sind ebenfalls benachbart. Man kann sie zu einem größeren Block zusammenfassen. 5. Ein -Feld oder -Feld darf in mehrere Blöcke integriert sein. 6. Jeder Block wird durch eine konjunktive Verknüpfung (UND) der Eingangsvariablen beschrieben. Wenn der Term für einen größtmöglichen Block gefunden wurde, so ist dieser nicht mehr zu vereinfachen. Er wird als Prim-Implikant bezeichnet. 7. Die logische Gleichung ergibt sich als disjunktive (ODER-) Verknüpfung der konjunktiven Terme. 6

17 8. Die logische Gleichung wird nur dann minimal, wenn die Blöcke so groß wie möglich sind und die Anzahl der Blöcke minimal wird. Man kann statt mit der positiven disjunktiven Minimalform auch mit der negativen Version arbeiten. Man faßt dann alle Felder und Blöcke zusammen, die den Ausgangswert oder "" ergeben. Mit der Shannon-Regel kann diese Form dann einfach in die positive konjunktive Minimalform umgewandelt werden. Abb. 3. zeigt ein einfaches Beispiel mit 2 Variablen. Wahrheitstabelle KV - Diagramm 2 Y Abb. 3.: Beispiel: Wahrheitstabelle und KV-Diagramm für eine Logik-Funktion mit 2 Eingangsvariablen Aus der Wahrheitstabelle läßt sich die normierte Logikfunktion direkt ableiten: Y = Die Vereinfachung mittels des KV-Diagramms liefert als Ausgangsfunktion: Y = 2 Auch für einen Satz von drei Eingangsvariablen ist das Verfahren noch recht übersichtlich. Die Wahrheitstabelle mit den Mintermen und die Struktur des KV-Diagramms zeigt Abb Wahrheitstabelle 2 3 Minterm Abb. 3.2: Wahrheitstabelle und KV-Diagramm (Schema) für 3 Eingangsvariable Die Anwendung für ein Beispiel mit drei Eingangsvariablen zeigt Abb Hier soll eine Logik mit zwei Ausgängen (Y bzw. Y2) minimiert werden. 7

18 KV-Diagramm für Y Wahrheitstabelle Y Y * 5 * 6 7 * redundante Terme KV-Diagramm für Y * * 5 4 Abb. 3.3: Logik-Minimierung für ein Netzwerk mit drei Eingangsvariablen und 2 Ausgängen Der Wert des Ausgangs Y2 ist für die Zustände 4 und 5 nicht definiert, also beliebig. Die Ausgangsfunktion für die erste Variable kann direkt aus der Wahrheitstabelle abgelesen werden: Y = Wie das KV-Diagramm für Y zeigt, können Zusammenfassungen zu Blöcken auch über die Grenzen des KV-Diagramms hinaus erfolgen. Unter Ausnutzung der Freiheiten durch die Redundanz kann gewählt werden, daß Y2 = Y sein soll. Mittels des KV-Diagramms für Y lassen sich die Felder und 2 sowie und zu je einem Block zusammenfassen. Damit erhält man: Y = Faßt man alternativ die Null-Felder zusammen, so wird: Y = oder Y = In diesem Fall ist also die Darstellung über die negierte Form günstiger. Für den zweiten Ausgang wird (Abb. 3. 4) ein eigenes KV-Diagramm aufgestellt. Setzt man die undefinierten Werte in den Feldern 4 und 5 auf "", so läßt sich neben dem Block aus den Feldern 2 und o ein weiterer Block aus,5,4, bilden. Damit erhält man die Ausgangsgleichung: Y2 = Alternativ kann man auch die Null-Felder unter Berücksichtigung der Redundanzen zusammenfassen: Y2 =

19 Für die technische Realisierung sind beide Alternativen gleich günstig. Mit insgesamt vier Eingangsvariablen läßt sich das KV-Diagramm auch noch relativ übersichtlich gestalten: Minterm Abb. 3.4: Struktur des KV-Diagramms für 4 Eingangsvariable Entsprechend den 6 möglichen Mintermen enthält das KV-Diagramm 6 Felder. Ein praktisches Beispiel ist in Abb. 3.5 gegeben Y Y2 KV - Diagramm für Y 2 3 * * 9 * 2 * * Abb. 3.5: Beispiel für KV-Diagramm mit 4 Eingangsvariablen Auch hier kann man wieder die disjunktive Normalform anhand der Wahrheitstabelle direkt hinschreiben: Y = Unter Ausnutzung der redundanten Terme könnte man Y2 = Y setzen. Das KV-Diagramm für Y zeigt, daß man die -Felder in drei Blöcke zusammenfassen kann. Dann wird die Minimalform: 9

20 Y = Alternativ zum Block aus den Feldern 2 und kann man auch einen Block aus 2 und bilden, die Ergebnisse sind gleichwertig. Durch Zusammenfassung der Null-Felder kann man eine negierte disjunktive Normalform erhalten: Y = Hier liefert die negierte disjunktive Normalform die besseren Ergebnisse. Mittels des Shannon-Theorems kann man natürlich auch hier eine Umwandlung in die konjuktive Normalform vornehmen. Die separat vorgenommene Minimierung für den Ausgang Y2 zeigt, daß man hier unter Ausnutzung der "dont cares" zwei Gruppen von jeweils 8 Elementen bilden kann. KV-Diagramm für Y * * 5 4 * * * Abb. 3.6: KV-Diagramm für vier Eingangsvariable Damit ergibt sich als maimale Vereinfachung: Y2 = 2 Mit vier Eingangsvariablen hat das KV-Diagramm noch recht "benutzbar" ausgesehen. Definiert ist es aber grundsätzlich auch für eine noch größere Zahl n von Eingangsvariablen, auch wenn damit die Zahl der Zustände mit 2**n ansteigt. Die Verhältnisse für 5 Eingangsvariable sind in Abb. 3.7 dargestellt: 2

21 Minterme usw. bis Abb. 3.7: Wahrheitstabelle (gekürzt) und KV-Diagramm für 5 Eingangsvariable Entsprechend den 32 möglichen Mintermen enthält das KV-Diagramm 32 Felder. An einer Seite ist nun die "Schachtelung" von zwei Eingangsvariablen notwendig Y Rest Abb. 3.8: Beispiel: Wahrheitstabelle und KV-Diagramm mit 5 Eingangsvariablen Durch die Zusammenfassung der -Felder mit Hilfe des KV-Diagramms erhält man hier die reduzierte Normalform: Y = Auch die Zusammenfassung der Null-Felder liefert wieder eine Minimalform: Y = In diesem Fall ist die aus den -Feldern gwonnene Minimalform die günstigere. 2

22 Diese Beispiele sollen zeigen, daß die Minimierung logischer Funktionen nur für kleine Schaltungen ein "manuell" durchführbarer Vorgang ist. Für große Schaltungen sind algorithmische Methoden unverzichtbar Das Verfahren nach Quine-McCluske Dieses zunächst von Quine vorgeschlagene und von Mc Cluske verbesserte Verfahren ist auch dann noch anwendbar, wenn graphische Methoden wie das KV-Diagramm wegen zu hoher Anzahl der Variablen an Übersichtlichkeit verlieren und nicht mehr handhabbar sind. Das Verfahren besteht aus 2 Teilen: Zunächst werden in einem erschöpfenden Verfahren alle Terme, die als Kandidaten für die vereinfachte Funktion in Betracht kommen, ausgewählt. Diese Terme werden als Prim-Implikanten bezeichnet. Beispiel: Gegeben sei die folgende vereinfachte Wahrheitstabelle für vier Eingangsvariable einer logischen Funktion F(w,,,z). Ausgangspunkt ist wieder die disjunktive Normalform mit der Numerierung der Terme, wie sie auch für das KV-Diagramm verwendet wurde (entsprechend ihrem dezimalen Wert). Es werden nur die Eingangsbelegungen dargestellt, welche eine "" am Schaltungsausgang erzeugen sollen: (a) (b) (c) Term No. w z komb. Terme w z komb. w z ja ja 2 ja 8 ja ja ja 4 ja 5 ja, -,2 -,8 - ja 2, - 8, -, - ja, 4 - ja, 5-4, 5 ja ja ja ja ja,2,8, - -,8,2, - -,,4,5 - -,4,,5 - - Abb. 3. 9: Quine-McCluske-Schema zur Ermittlung der Prim-Implikanten Zunächst werden alle Minterme danach sortiert, wie viele -Stellen in ihnen vorkommen (a). Jeweils zwei Minterme, die sich nur in einer Stelle unterscheiden, können kombiniert werden. Die Bitstelle, an der der Unterscheid auftritt, wird mit " - " versehen. Man vergleicht dazu alle Elemente aus einer Sektion mit allen der darunterliegenden. Diese Terme werden in einem entsprechenden neuen Schema (b) sortiert. In unserem Fall können aus der Liste in (a) alle Terme kombiniert werden und werden entsprechend annotiert. Das Scheme (b) ist nach demselben Gesichtpunkt "Zahl der - Werte" sortiert wie (a). Der Versuch, Terme mit Unterschied in nur einer Stelle zu kombinieren, wird nun mit (b) fortgesetzt. Zunächst fällt der Term " - " als nicht mehr kombinierbar auf. Alle anderen Terme können nochmals kombiniert werden und bilden das Schema (c). 22

23 Eine weitere Vereinfachung ist dann nicht mehr möglich. Deshalb wird das Schema für diesen Fall mit (c) abgeschlossen. Der eine Term aus (b) und alle Term aus (c) sind Prim-Implikanten. Dies sind also: Die Terme in (c) treten zweimal auf, man wird sie bei der Bestimmung der Minimalform aber nur einmal angeben. Damit ist hier als Minimalform der Schaltung direkt angebbar: F = w' ' ' + ' z' + w Hier haben wir den Fall, daß die Summe der gefundenen Prim-Implikanten direkt die Minimalform ergibt. Die wird im allemeinen Fall so nicht funktionieren, man muß sich im zweiten Schritt aus den gefundenen Prim-Implikanten noch die Minimalform ermitteln. Im nächsten Beispiel, das für eine andere Funktiuon mit ebenfalls vier Eingangsvariablen gilt, bringt die erste Stufe der Minimierung eine größere Zahl von Prim-Implikanten: Binärstellen w z Term - ' ' z - w' z' - w' - z - w z - - w ' Abb. 3. 2: Tabelle von Prim-Implikanten für Selektion Eine Verknüpfung all dieser Primimplikanten würde eine korrekte Logik-Funktion ergeben, die aber noch nicht minimal ist. Prim- Implikanten Minterme ' ' z,9 w' z' 4,6 w' 6,7 z 7, 5 w z,5 w ' 8,9,, Markierte Spalten: Minterm nur durch einen Prim-Implikanten erfaßt Unterstrichen: Essentielle Prim-Implikanten Abb. 3.2: Schema zur Auswahl der essentiellen Prim-Implikanten 23

24 Abb. 3.2 zeigt das zur Auswahl der Prim-Implikanten für die minimale Normalform verwendete Schema. Horizontal aufgetragen werden die Minterme, vertikal dagegen die ermittelten Prim-Implikanten (PIs) Im ersten Schritt wird nun markiert, wo ein Minterm durch einen Prim-Implikanten abgedeckt wird. Im zweiten Schritt ist dann zu ermitteln, welche Minterme durch genau einen der vorhandenen Prim- Implikanten erfaßt werden. Das entspricht im Schema Spalten, die nur ein Kreuz haben. Wenn ein Minterm nur durch genau einen Prim-Implikanten überdeckt ist, dann muß dieser Prim-Implikant notwendigerweise in der Logikfunktion erscheinen. Man nennt solche PIs "essentielle PIs". Mit diesem Schritt sind die essentiellen PIs ermittelt. Prim- Implikanten Minterme ' ' z,9 w' z' 4,6 w' 6,7 z 7, 5 w z,5 w ' 8,9,, Von den essentiellen Prim-Implikanten erfaßt: Abb. 3.22: Überdeckung durch die essentiellen Prim-Implikanten Im vierten Schritt ist dann zu untersuchen, welche der verbleibenden Minterme, die durch mehrere PIs abgedeckt werden können, durch die essentiellen PIs schon erfaßt sind. Im Beispiel sind nur die Minterme 7 und 5 nicht erfaßt. Es müssen also weitere Minterme gefunden werden. Im vorliegenden Fall überdeckt der PI z gerade die Minterme 7 und 5. Damit besteht sinnvollerweise die minimale Logikfunktion aus den essentiellen PIs plus diesem PI. Damit steht als Ergebnis fest: F = ' ' z + w' z' + w ' + z Man kann dieses Schema in gleicher Weise auch auf die konjunktive Normalform anwenden. Sollen Logik-Tabellen minimiert werden, die "dont care"-werte erhalten, so werden diese bei der Suche nach den Primimplikanten mit verwendet und können dort direkt zur Vereinfachung beitragen. Bei der Tabelle zu Ermittlung der essentiellen PIs erscheinen diese Werte dann nicht mehr Andere Verfahren Sowohl das KV-Diagramm als auch das Quine-McClske-Verfahren benötigen als Eingangs-funktion eine konjunktive oder disjunktive Minimalform. Diese im allgemeinen Fall aus eine Logik-Gleichung zu gewinnen, kann durchaus aufwendig sein. Für Logiken, die mehr als zweistufig werden müssen, funktioniert das Verfahren gar nicht. Beide Verfahren liefern als Ausgang zunächst auch nur die Minimierung für eine Ausgangsvariable. Bei Logiken mit mehreren Ausgängen muß man das Verfahren entsprechend mehrfach ausführen. 24

25 Die gemeinsame Verwendung von Termen für beide Ausgänge ist dann möglich, erfordert aber zusätzliche "Handarbeit". Es eistieren weitere Verfahren zur Logik-Minimierung auch für Funktionen mit größerer logischer Tiefe, die allerdings nicht deterministisch zu der minimalen Logik führen (z. B. im Programmpaket ESPRESSO der Universit of California, Berkele). Trotz vieler Arbeiten in den letzten Jahren ist die Logik-Minimierung auch heute noch ein aktuelles Forschungsgebiet. 3.5 Kombinatorische Makros 3.5. Übersicht Bei Aufgaben des Schaltungs- und Sstementwurfs wird man nicht immer von der Ebene der Transistoren oder der Gatter aus beginnen, sondern mit kompleeren Bausteinen für arithmetische und logische Grundfunktionen arbeiten wollen. Wenn diese speichernde Eigenschaften haben, wird man sie zur sequentiellen Logik rechnen. Aber auch rein kombinatorische Makros sind sinnvoll. Für logische Funktionen wird man einmal kompleere Verknüpfungsmöglichkeiten als Einzelgatter haben wollen. Darüber hinaus wird man häufig Codier- und Decodierbausteine benötigen. Für arithmetische Operationen sind Addierer, Subtrahierer, ggf. auch Multiplizierer von Bedeutung. Für Kontroll-Funktionen sind Multipleer und Demultipleer von großer Bedeutung Äquivalente Logik-Realisierungen Der Übersichtlichkeit halber neigt ein menschlicher Logik-Entwickler dazu, seine Schaltungen aus nicht-invertierenden Logik-Elementen auzubauen. Die meisten Realisierungen von Logik-Funktionen beruhen aber auf Technologien, bei denen invertierende Funktionen (NAND, NOR) mit einer einstufigen Transistorschaltung realisierbar sind, während nicht-invertierende Funktionen (AND, OR) zusätzlich einen Inverter benötigen. Folglich sind NAND und NOR mit weniger Transistoren und deshalb auf geringerem Raum und mit schnelleren Schaltzeiten realisierbar. Darüber hinaus gibt es Technologien, die vorzugsweise die Realisierung aus NANDs oder NORs unterstützen. Deshalb sind für die Implementierung von Logik in hochintegrierten Schaltungen Techniken interessant, welche eine beliebige Konvertierung von Logik auf unterschiedliche Basis-Funktionen zulassen. Grundlage solcher Techniken ist die Regel nach de Morgan. (Abb ). äquivalent (de Morgan) entspricht Abb. 3.23: Logische Äquivalenzen 25

26 Algorithmen, mit deren Hilfe eine AND - OR-Logik in eine NAND-NOR-Logik ungewandelt werden kann, sind bekannt Vorgestellt werden soll hier eine Methode, welche auf der Basis des logischen Netzes eine solche Umwandlung erlaubt. Zunächst kann man an beiden Enden einer Verbindung zwischen zwei logischen Bausteinen je einen Inverter einfügen, ohne daß sich die Logikfunktion ändert. Entsprechen ist es natürlich auch möglich, bei einer Logik-Konvertierung zwei aneinander angrenzende Inverter zu eliminieren. Nach de Morgan kann man dann aus einem AND mit Invertierungen an den Eingängen ein NOR erzeugen, aus einem OR mit invertierten Eingängen ein NAND. Bei weiterer Verfolgung dieses Prinzips kann man dann auch die Ersatzschaltungen für AND und OR aus NOR bzw NAND mit zusätzlich invertierten Eingängen angeben (Abb ). äquivalent äquivalent Abb. 3.24: Substitution von AND und OR durch NAND, NOR und Inverter In Schaltungen ergeben sich dann zum Beispiel folgende Kompensationsmöglichkeiten (Abb. 3.25): entspricht entspricht Abb : Konvertierung von Schaltnetzen von nicht-invertierenden zu invertierenden Gatter-Bausteinen Damit sind im allgmeinen die Umwandlungen von Gatter-Netzen ohne große Problem möglich. Ein praktisches Beispiel ist in Abb für die Konvertierung eines Netzwerks mit AND und R-Gattern in eines mit NANDs angegeben. Das Verfahren gilt natürlich auch für Gatter mit mehr als zwei Eingängen. In dieser Weise läßt es natürlich nur für kombinatorische Schaltungen, also solche ohne interne Rückkopplung anwenden!. Ausgangsschaltung C D E A B' C D E A B' 2. Substitution der ANDs / ORs AND OR OR AND 3. "Kürzen" der Inverter C D E' A' B Abb. 3.26: Konvertierung von einer AND-OR-Realisierung zu einer NAND-NOR- Realisierung 26

27 Ein andere Problem bilden Gatter mit hohem Fan-In. Es ist in den meisten Technologien nicht günstig, z. B. ein Gatter mit 8 Eingängen einstufig zu realisieren. Ein solches Gatter würde z. B. in CMOS-Technik wegen des sogenannten Substrateffekts und wegen großer interner Kapazitäten nur recht langsam umschalten. In der Prais wird man deshalb Gatter mit vielen Eingängen nicht einstufig aufbauen, sondern in Form einer mehrstufigen Logik, die aus Gattern mit einer geringeren Zahl von Eingängen aufgebaut ist, realisieren. Abb zeigt als Beispiel die Realisierung eines AND-Gatters mit 8 Eingängen durch NANDs, NORs und Inverter. langsam schneller schnell noch schneller. Abb. 3.27: Realisierung eines 8-fach AND-Gatters durch mehrstufige Logik Welche Art der Realisierung am günstigsten ist, wird stark von der jeweiligen Technologie (bipolar, CMOS) abhängen. Während hier eine einfache, an sich einstufig realisierbare Logik-Funktion mehrstufig implementiert wurde, ist es durch Verwendung sogenannter Komplegatter in CMOS- Technologie auch möglich, zwei- und sogar mehrstufige Logik-Funktionen einstufig zu realisieren. In manchen Technologien war zeitweise auch die Verwendung von sogenannten Pass- Transistoren oder, in CMOS-Technik, Transmission-Gates recht beliebt. Diese Technik soll im nächsten Abschnitt kurz vorgestellt werden OR (Eklusiv-ODER) und Äquivalenzfunktion Die ersten logischen Makros haben wir bereits kennengelernt, es waren die Elusiv-ODER funktion (OR) und deren Invertierung, die NOR-Funktion. Nachfolgend sei nochmals die Wahrheitstabelle für das OR angegeben, wenn und die Eingäng sind und out der Ausgang ist. Die Negierung des OR wird auch als Aquivalenz-Funktion bezeichnet. or equiv. (nor) Es gilt also: (or) = ' + ' 27

28 Die dazu komplementäre Funktion ist die Äquivalenzfunktion: (equ) = + ' ' (nachfolgend auch als NOR bezeichnet) Diese Funktionen sind komplementär und generell auch für mehr als nur 2 Eingänge definiert. Es gelten zum Beispiel das kommutative und das assoziative Gesetz. Man kann dann z. B angeben, daß gilt: (A or B) or C = A or (B or C) = A or B or C Zum Beispiel gilt auch: (A eor B eor C)' = A eor B equ C (A equ B equ C)' = A equ B eor C Allgemein kann man angeben, daß bei der EOR-Funktion der Ausgang wird, wenn verschiedene Eingagswerte anliegen, sie wird, wenn die Eingangswerte an allen Eingängen gleich sind. Für die Äquivalenz-Funktion (nor) gilt genau der inverse Fall. or und nor werden in der Prais nur selten mit mehr als 2 Eingängen realisiert und eingesetzt, weil der Schaltungsaufwand relativ hoch ist. Die Realisierung dieser Funktion ist durch mehrstufige Gatter-Schaltungen möglich. Abb zeigt die Implementierung einer OR-Funktion durch 4 NAND-Gatter. In CMOS-Technik wird jedes dieser NAND-Gatter aus 4 Transistoren aufgebaut, man benötigt also 6 Transistoren. out Abb. 3.28: Realisierung einer OR-Schaltung mit vier NAND-Gattern Die CMOS-Technik läßt es zu, bei Verwendung sogenannter "Transmission Gates" für die Logik- Realisierung in erheblichem Umfang Transistoren einzusparen. Eine solche Transistorschaltung zeigt Abb VDD out GND Abb. 3.29: OR-Schaltung mit Transmission Gates 28

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10 FB ET/IT Binäre Rechenoperationen WS 9/ Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbst erstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

Binärdarstellung von Fliesskommazahlen

Binärdarstellung von Fliesskommazahlen Binärdarstellung von Fliesskommazahlen 1. IEEE 754 Gleitkommazahl im Single-Format So sind in Gleitkommazahlen im IEEE 754-Standard aufgebaut: 31 30 24 23 0 S E E E E E E E E M M M M M M M M M M M M M

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 3 1. Semester ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 3 1. Semester ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN Wir wollen nun die Rechengesetze der natürlichen Zahlen auf die Zahlenmenge der ganzen Zahlen erweitern und zwar so, dass sie zu keinem Widerspruch mit bisher geltenden

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Brückenkurs Mathematik TU Dresden 2015 Lineare Gleichungssysteme Schwerpunkte: Modellbildung geometrische Interpretation Lösungsmethoden Prof. Dr. F. Schuricht TU Dresden, Fachbereich Mathematik auf der

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme 1 Zwei Gleichungen mit zwei Unbekannten Es kommt häufig vor, dass man nicht mit einer Variablen alleine auskommt, um ein Problem zu lösen. Das folgende Beispiel soll dies verdeutlichen

Mehr

Skript und Aufgabensammlung Terme und Gleichungen Mathefritz Verlag Jörg Christmann Nur zum Privaten Gebrauch! Alle Rechte vorbehalten!

Skript und Aufgabensammlung Terme und Gleichungen Mathefritz Verlag Jörg Christmann Nur zum Privaten Gebrauch! Alle Rechte vorbehalten! Mathefritz 5 Terme und Gleichungen Meine Mathe-Seite im Internet kostenlose Matheaufgaben, Skripte, Mathebücher Lernspiele, Lerntipps, Quiz und noch viel mehr http:// www.mathefritz.de Seite 1 Copyright

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster Es gibt in Excel unter anderem die so genannten Suchfunktionen / Matrixfunktionen Damit können Sie Werte innerhalb eines bestimmten Bereichs suchen. Als Beispiel möchte ich die Funktion Sverweis zeigen.

Mehr

Binär Codierte Dezimalzahlen (BCD-Code)

Binär Codierte Dezimalzahlen (BCD-Code) http://www.reiner-tolksdorf.de/tab/bcd_code.html Hier geht es zur Startseite der Homepage Binär Codierte Dezimalzahlen (BCD-) zum 8-4-2-1- zum Aiken- zum Exeß-3- zum Gray- zum 2-4-2-1- 57 zum 2-4-2-1-

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Kapitel 3: Boolesche Algebra

Kapitel 3: Boolesche Algebra Inhalt: 3.1 Grundlegende Operationen und Gesetze 3.2 Boolesche Funktionen u. u. ihre Normalformen 3.3 Vereinfachen von booleschen Ausdrücken 3.4 Logische Schaltungen 3.1 Grundlegende Operationen und Gesetze

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage:

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Zählen und Zahlbereiche Übungsblatt 1 1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Für alle m, n N gilt m + n = n + m. in den Satz umschreiben:

Mehr

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes.

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes. Binäre Bäume Definition: Ein binärer Baum T besteht aus einer Menge von Knoten, die durch eine Vater-Kind-Beziehung wie folgt strukturiert ist: 1. Es gibt genau einen hervorgehobenen Knoten r T, die Wurzel

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

AZK 1- Freistil. Der Dialog "Arbeitszeitkonten" Grundsätzliches zum Dialog "Arbeitszeitkonten"

AZK 1- Freistil. Der Dialog Arbeitszeitkonten Grundsätzliches zum Dialog Arbeitszeitkonten AZK 1- Freistil Nur bei Bedarf werden dafür gekennzeichnete Lohnbestandteile (Stundenzahl und Stundensatz) zwischen dem aktuellen Bruttolohnjournal und dem AZK ausgetauscht. Das Ansparen und das Auszahlen

Mehr

Übungsaufgaben. - Vorgehensweise entsprechend dem Algorithmus der schriftlichen Multiplikation

Übungsaufgaben. - Vorgehensweise entsprechend dem Algorithmus der schriftlichen Multiplikation Übungsaufgaben Anmerkung Allen Beispielen soll noch hinzugefügt sein, dass wertvolle Hinweise, also die Tipps und Tricks die der schnellen maschinellen Multiplikation zu Grunde liegen, neben dem toff zur

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12 FB ET/IT Binäre Rechenoperationen WS /2 Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbsterstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Anwendungshinweise zur Anwendung der Soziometrie

Anwendungshinweise zur Anwendung der Soziometrie Anwendungshinweise zur Anwendung der Soziometrie Einführung Die Soziometrie ist ein Verfahren, welches sich besonders gut dafür eignet, Beziehungen zwischen Mitgliedern einer Gruppe darzustellen. Das Verfahren

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Berechnungen in Access Teil I

Berechnungen in Access Teil I in Access Teil I Viele Daten müssen in eine Datenbank nicht eingetragen werden, weil sie sich aus anderen Daten berechnen lassen. Zum Beispiel lässt sich die Mehrwertsteuer oder der Bruttopreis in einer

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Informatik A ( Frank Hoffmann)

Informatik A ( Frank Hoffmann) Teillösungen zum 1. Aufgabenblatt zur Vorlesung Informatik A ( Frank Hoffmann) 1. Improvisieren Stellen Sie die Zahl 6 dar durch einen Ausdruck, der genau dreimal die Ziffer i enthält und ansonsten neben

Mehr

Erklärung zum Internet-Bestellschein

Erklärung zum Internet-Bestellschein Erklärung zum Internet-Bestellschein Herzlich Willkommen bei Modellbahnbau Reinhardt. Auf den nächsten Seiten wird Ihnen mit hilfreichen Bildern erklärt, wie Sie den Internet-Bestellschein ausfüllen und

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Rekursionen. Georg Anegg 25. November 2009. Methoden und Techniken an Beispielen erklärt

Rekursionen. Georg Anegg 25. November 2009. Methoden und Techniken an Beispielen erklärt Methoden und Techniken an Beispielen erklärt Georg Anegg 5. November 009 Beispiel. Die Folge {a n } sei wie folgt definiert (a, d, q R, q ): a 0 a, a n+ a n q + d (n 0) Man bestimme eine explizite Darstellung

Mehr

4 Binäres Zahlensystem

4 Binäres Zahlensystem Netzwerktechnik achen, den 08.05.03 Stephan Zielinski Dipl.Ing Elektrotechnik Horbacher Str. 116c 52072 achen Tel.: 0241 / 174173 zielinski@fh-aachen.de zielinski.isdrin.de 4 inäres Zahlensystem 4.1 Codieren

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS PC & Elektronik Herbert Bernstein PC Digital Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen Labor Mit 317 Abbildungen FRANZIS Inhalt 1 Boolesche Algebra 13 1.1 Mengenalgebra 14 1.1.1 Festlegung und Darstellung

Mehr

Sowohl die Malstreifen als auch die Neperschen Streifen können auch in anderen Stellenwertsystemen verwendet werden.

Sowohl die Malstreifen als auch die Neperschen Streifen können auch in anderen Stellenwertsystemen verwendet werden. Multiplikation Die schriftliche Multiplikation ist etwas schwieriger als die Addition. Zum einen setzt sie das kleine Einmaleins voraus, zum anderen sind die Überträge, die zu merken sind und häufig in

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Informatik I WS 07/08 Tutorium 24

Informatik I WS 07/08 Tutorium 24 Info I Tutorium 24 Informatik I WS 07/08 Tutorium 24 3.2.07 astian Molkenthin E-Mail: infotut@sunshine2k.de Web: http://infotut.sunshine2k.de Organisatorisches / Review is zum 2.2 müssen alle Praxisaufgaben

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

Data Mining: Einige Grundlagen aus der Stochastik

Data Mining: Einige Grundlagen aus der Stochastik Data Mining: Einige Grundlagen aus der Stochastik Hagen Knaf Studiengang Angewandte Mathematik Hochschule RheinMain 21. Oktober 2015 Vorwort Das vorliegende Skript enthält eine Zusammenfassung verschiedener

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Grundbegriffe der Informatik

Grundbegriffe der Informatik Grundbegriffe der Informatik Einheit 15: Reguläre Ausdrücke und rechtslineare Grammatiken Thomas Worsch Universität Karlsruhe, Fakultät für Informatik Wintersemester 2008/2009 1/25 Was kann man mit endlichen

Mehr

Grundlagen Digitaler Systeme (GDS)

Grundlagen Digitaler Systeme (GDS) Grundlagen Digitaler Systeme (GDS) Prof. Dr. Sven-Hendrik Voß Sommersemester 2015 Technische Informatik (Bachelor), Semester 1 Termin 10, Donnerstag, 18.06.2015 Seite 2 Binär-Codes Grundlagen digitaler

Mehr

Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner

Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner Rechengesetze 1. Rechengesetze für natürliche Zahlen Es geht um

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

4 Aufzählungen und Listen erstellen

4 Aufzählungen und Listen erstellen 4 4 Aufzählungen und Listen erstellen Beim Strukturieren von Dokumenten und Inhalten stellen Listen und Aufzählungen wichtige Werkzeuge dar. Mit ihnen lässt sich so ziemlich alles sortieren, was auf einer

Mehr

S/W mit PhotoLine. Inhaltsverzeichnis. PhotoLine

S/W mit PhotoLine. Inhaltsverzeichnis. PhotoLine PhotoLine S/W mit PhotoLine Erstellt mit Version 16.11 Ich liebe Schwarzweiß-Bilder und schaue mir neidisch die Meisterwerke an, die andere Fotografen zustande bringen. Schon lange versuche ich, auch so

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken

Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Handbuch ECDL 2003 Basic Modul 5: Datenbank Grundlagen von relationalen Datenbanken Dateiname: ecdl5_01_00_documentation_standard.doc Speicherdatum: 14.02.2005 ECDL 2003 Basic Modul 5 Datenbank - Grundlagen

Mehr

ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN

ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN CHRISTIAN HARTFELDT. Zweiter Mittelwertsatz Der Mittelwertsatz Satz VI.3.4) lässt sich verallgemeinern zu Satz.. Seien f, g : [a, b] R auf [a,

Mehr

3.1. Die komplexen Zahlen

3.1. Die komplexen Zahlen 3.1. Die komplexen Zahlen Es gibt viele Wege, um komplexe Zahlen einzuführen. Wir gehen hier den wohl einfachsten, indem wir C R als komplexe Zahlenebene und die Punkte dieser Ebene als komplexe Zahlen

Mehr

Folge 19 - Bäume. 19.1 Binärbäume - Allgemeines. Grundlagen: Ulrich Helmich: Informatik 2 mit BlueJ - Ein Kurs für die Stufe 12

Folge 19 - Bäume. 19.1 Binärbäume - Allgemeines. Grundlagen: Ulrich Helmich: Informatik 2 mit BlueJ - Ein Kurs für die Stufe 12 Grundlagen: Folge 19 - Bäume 19.1 Binärbäume - Allgemeines Unter Bäumen versteht man in der Informatik Datenstrukturen, bei denen jedes Element mindestens zwei Nachfolger hat. Bereits in der Folge 17 haben

Mehr

Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen

Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen 1. Quadratische Gleichungen Quadratische Gleichungen lassen sich immer auf die sog. normierte Form x 2 + px + = 0 bringen, in

Mehr

3. Verpackungskünstler. Berechnungen am Quader, Umgang mit Termen, räumliche Vorstellung

3. Verpackungskünstler. Berechnungen am Quader, Umgang mit Termen, räumliche Vorstellung Berechnungen am Quader, Umgang mit Termen, räumliche Vorstellung Päckchen, die man verschenken möchte, werden gerne mit Geschenkband verschnürt. Dazu wird das Päckchen auf seine größte Seite gelegt, wie

Mehr

7 Rechnen mit Polynomen

7 Rechnen mit Polynomen 7 Rechnen mit Polynomen Zu Polynomfunktionen Satz. Zwei Polynomfunktionen und f : R R, x a n x n + a n 1 x n 1 + a 1 x + a 0 g : R R, x b n x n + b n 1 x n 1 + b 1 x + b 0 sind genau dann gleich, wenn

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Geld wechseln kann als Visualisierung des Zehnerübergangs dienen. Die Zwischengrössen (CHF 2.-, 5.-, 20.-, 50.-) weglassen.

Geld wechseln kann als Visualisierung des Zehnerübergangs dienen. Die Zwischengrössen (CHF 2.-, 5.-, 20.-, 50.-) weglassen. E2 Rechnungen verstehen plus minus Verständnisaufbau Geld wechseln Geld wechseln kann als Visualisierung des Zehnerübergangs dienen. Die Zwischengrössen (CHF 2.-, 5.-, 20.-, 50.-) weglassen. Ich bezahle

Mehr

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten Das große x -4 Alles über das Wer kann beantragen? Generell kann jeder beantragen! Eltern (Mütter UND Väter), die schon während ihrer Elternzeit wieder in Teilzeit arbeiten möchten. Eltern, die während

Mehr

3.2 Spiegelungen an zwei Spiegeln

3.2 Spiegelungen an zwei Spiegeln 3 Die Theorie des Spiegelbuches 45 sehen, wenn die Person uns direkt gegenüber steht. Denn dann hat sie eine Drehung um die senkrechte Achse gemacht und dabei links und rechts vertauscht. 3.2 Spiegelungen

Mehr

Zahlensysteme. Zahl 0 0 0 0 0 5 5. Stellenwert Zahl 0 0 0 0 0 50 5. Zahl = 55 +50 +5

Zahlensysteme. Zahl 0 0 0 0 0 5 5. Stellenwert Zahl 0 0 0 0 0 50 5. Zahl = 55 +50 +5 Personal Computer in Betrieb nehmen 1/6 Weltweit setzen die Menschen alltäglich das Zehnersystem für Zählen und Rechnen ein. Die ursprüngliche Orientierung stammt vom Zählen mit unseren 10 Fingern. Für

Mehr

Jede Zahl muss dabei einzeln umgerechnet werden. Beginnen wir also ganz am Anfang mit der Zahl,192.

Jede Zahl muss dabei einzeln umgerechnet werden. Beginnen wir also ganz am Anfang mit der Zahl,192. Binäres und dezimales Zahlensystem Ziel In diesem ersten Schritt geht es darum, die grundlegende Umrechnung aus dem Dezimalsystem in das Binärsystem zu verstehen. Zusätzlich wird auch die andere Richtung,

Mehr

Betragsgleichungen und die Methode der Fallunterscheidungen

Betragsgleichungen und die Methode der Fallunterscheidungen mathe online Skripten http://www.mathe-online.at/skripten/ Betragsgleichungen und die Methode der Fallunterscheidungen Franz Embacher Fakultät für Mathematik der Universität Wien E-mail: franz.embacher@univie.ac.at

Mehr

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Vollständigkeit halber aufgeführt. Gehen wir einmal davon aus, dass die von uns angenommenen 70% im Beispiel exakt berechnet sind. Was würde

Mehr

Was meinen die Leute eigentlich mit: Grexit?

Was meinen die Leute eigentlich mit: Grexit? Was meinen die Leute eigentlich mit: Grexit? Grexit sind eigentlich 2 Wörter. 1. Griechenland 2. Exit Exit ist ein englisches Wort. Es bedeutet: Ausgang. Aber was haben diese 2 Sachen mit-einander zu tun?

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr