>1 z. a b. a b. a b. log. 0. a b. Übung 3: Schaltnetze. VU Technische Grundlagen der Informatik

Größe: px
Ab Seite anzeigen:

Download ">1 z. a b. a b. a b. log. 0. a b. Übung 3: Schaltnetze. VU Technische Grundlagen der Informatik"

Transkript

1 VU Technische Grundlgen der Informtik Üung 3: Schltnetze , 205W Üungsgruppen: Mo., 6.. Mi., Allgemeiner Hinweis: Die Üungsgruppennmeldung in TISS läuft von Montg, 09.., 20:00 Uhr is Sonntg, 5.., 23:59 Uhr. Die Pltzverge in den einzelnen Üungsgruppen erfolgt nch dem first-come first-served Prinzip. Grundsätzlich wird er sichergestellt, dss für lle Studierende, die zum.test ngemeldet wren, ein Pltz in einer Üungsgruppe zur Verfügung steht. Aufge : Umformungen Gegeen ist folgende Schltung: z Betrchten Sie die Schltnetze () is (c) und egründen Sie, o es sich um gültige Umformungen des oen drgestellten Schltnetzes hndelt oder nicht! Eine Umformung ist gültig, wenn ds umgeformte Schltnetz diesele Funktion wie ds ursprünglich gegeene Schltnetz relisiert. () () (c) z = > z > z log. 0 >

2 Aufge 2: Grphische Umformung / NOR Gegeen ist die folgende Schltung: c > d > z Formen Sie die gegeene Schltung grphisch um, sodss usschließlich NOR-Gtter mit 2 Eingängen verwendet werden!

3 Aufge 3: Funktionle Vollständigkeit Für die Relisierung einer digitlen Schltung stehen Ihnen jeweils entweder nur Busteine der Art von ) oder jene von ) zur Verfügung. Zeigen Sie für eide Fälle, dss Sie nur mit den gegeenen Busteinrten und zwr ohne Verwendung der Nullfunktion (log. 0) oder Einsfunktion (log.) die logischen Grundfunktionen NOT, AND und OR relisieren können! ) ) und >

4 Aufge : Schltnetze Anlyse Ds folgende Schltnetz ist gegeen: 0 c0 c c2 0 c3 ) Befüllen Sie die nchfolgende Whrheitstfel! 0 0 c 3 c 2 c c 0 (dez) (dez) c(dez) ) Welche mthemtische Funktion wird mit diesem Schltnetz relisiert? Tipp: Betrchten Sie ( 0, ), ( 0, )undc (c 0,c,c 2,c 3 ) ls Binärzhlen, woei,,c 3 jeweils ds most significnt it (ms) sind und wndeln Sie diese in Dezimlzhlen um.

5 Aufge 5: -Bit Addierer Entwerfen Sie einen Addierer, der eine fünfstellige Summe S =(s s 3 s 2 s s 0 ) 2 zweier vierstelliger Binärzhlen A =( ) 2 und B =( ) 2 ildet. s 0, 0 und 0 sind jeweils ds lest significnt it (ls). Für die Relisierung können Sie elieig viele -Bit-Hlddierer (siehe Aildung rechts) verwenden, ndere Buteile wie eispielsweise AND-, OR-, NOT- Gtter stehen Ihnen nicht zur Verfügung. Zeichnen Sie die resultierende Schltung! Vergessen Sie nicht, Ein- und Ausgänge entsprechend zu eschriften! i c i HA s i i

6 Aufge 6: -Bit Sutrhierer Buen Sie ein Schltnetz, ds die Di erenz (d 3 d 2 d d 0 ) 2 := ( 2 0 ) 2 ( 2 0 ) 2 zweier positiver 3 Bit Zhlen (lso im Intervll [0; +7]) ildet und vorzeichenrichtig ls Bit Zweierkomplementzhl usgit. 0, 0 und d 0 sind jeweils ds ls. Für diese Aufge stehen Ihnen die unten drgestellten Buelemente ein Bustein mit Negtionsgliedern und ein Bustein mit Vollddierern zur Verfügung. Zeichnen Sie die entsprechenden Verindungen ein und eschriften Sie die Ein- und Ausgänge! Hinweis: Flls Sie Eingänge nicht verwenden, vergessen Sie nicht, diese mit entsprechenden logischen Werten zu elegen! e 3 e 2 e e 0 e 3 e 2 e e c out c 3 c 2 c c 0 c in VA VA VA VA s 3 s 2 s s 0

7 Aufge 7: Komprtorschltung Konstruieren Sie ein Schltnetz mit vier Eingngsvrilen, 0, und 0 und zwei Ausgngsvrilen k und g. Die Ausgänge k ( kleiner ) und g ( größer ) dieser Schltung sind folgendermßen definiert: ( ( 0 flls ( 0 ) 2 ( 0 ) 2 0 flls ( 0 ) 2 pple ( 0 ) 2 k = g = flls ( 0 ) 2 < ( 0 ) 2 flls ( 0 ) 2 > ( 0 ) 2 In nderen Worten: Die Schltung interpretiert die Eingänge ls Binärzhlen ( 0 ) 2 und ( 0 ) 2,führt einen Größenvergleich zwischen den eiden Zhlen durch und setzt die Ausgänge k und g entsprechend. ) Erstellen Sie die Whrheitstfel der Vergleichsfunktion und ermitteln Sie vereinfchte Funktionsusdrücke für k und g! Verwenden Sie dei die vorgegeenen KV-Digrmme. 0 0 k g k g ( z } { {z } {z } = ; 9 = ; ( z } { {z } {z } = ; 9 = ; ) Relisieren Sie die us dem KV-Digrmm ermittelte Schltfunktion mit nchfolgendem PLA (siehe nächste Seite).

8 0 0 k g

9 Aufge 8: Ansteuerung einer Sieensegmentnzeige Entwerfen Sie einen Sieensegmentdecoder für die Ansteuerung der rechts drgestellten Sieensegmentnzeige. Der Decoder verwendet einen Tellenspeicher, um den -Bit Eingewert e 3...e 0 (e 0 ist ls) uf die ngegeenen Anzeigemuster zuilden. Der Tellenspeicher soll mit einem entsprechend progrmmierten 6 8 ROM-Bustein relisiert werden. Um ein Segment der Anzeige zum Leuchten zu ringen, muss m entsprechenden Eingng logisch l ngelegt werden. Die Zuordnung der Anschlüsse zu den Segmenten ist der Aildung rechts zu entnehmen. Die inäre Einge soll ls entsprechender Hexdezimlwert interpretiert und in die unten drgestellten Muster umgesetzt werden. Ein schwrzer Blken in der Drstellung edeutet, dss ds entsprechende Segment leuchtet. c d e f g f e g d c A B C D E F ) Trgen Sie den Inhlt des Tellenspeichers in die nchfolgende Telle ein und geen Sie in der Zeile Signle n, wie Sie die Signlleitungen e 3...e 0 und...g den Adress- zw. Dtenleitungen des ROM (Zeile ROM ) zuordnen. A 3 A 2 A A 0 D 7 D 6 D 5 D D 3 D 2 D D 0 ROM Signle ) Ergänzen Sie die unten drgestellte Schltung so, dss eine Zählschltung entsteht, die zyklisch die Werte 8 is F uf der Sieensegmentnzeige usgit. Es stehen Ihnen ein Bit Zählerustein (Q 0 ist ls) sowie ROM und Sieensegmentnzeige us Teileispiel ) zur Verfügung. Achten Sie uf die korrekten Verindungen zwischen den Busteinen und druf, dss nicht enötigte Eingänge uf logisch oder logisch 0 gesetzt werden müssen! Tkt Reset Cler Q 3 Q 2 Q Counter Q 0 A 3 A 2 A A 0 ROM 6 x 8 D 7 D 6 D 5 D D 3 D 2 D D 0 g f e d c

10 Aufge 9: ALU (Arithmetic Logic Unit) Entwerfen Sie ds Schltnetz einer -Bit ALU (Arithmetic Logic Unit). Eine ALU ist ein integrierter Bustein, der mehrere Logikfunktionen unterstützt, die mit Hilfe von function select Eingängen (F 0...F 3 ) usgewählt werden. Die -Bit reiten Eingänge A und B werden dnn nch der selektierten logischen Funktion kominiert und ds Ergenis m Ausgng R usgegeen. Etwige Sttusinformtionen der ALU werden mit Hilfe des Ausgngs D usgegeen (z.b. Crry-out). Sie dürfen für Ihre Lösung eine elieige Anzhl der unten gegeenen Logikusteine verwenden. Komintionen von F, die nicht in der Steuertelle ufscheinen, können in einem elieigen Ausgng resultieren, müssen lso nicht explizit uf einen definierten Zustnd gesetzt werden. F A R B D X Y >= Z S S 2 -MUX C D Crry in X VA Y Z Crry out Steuertelle für ALU F 3 F 2 F F 0 R A NOT A 0 A AND B A+B 0 0 B-A A R B D F 0 F F 2 F 3

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3 Lehrgng: Digitltechnik ( Grundlgen ) Dtum: Nme: Seite: Inhltsverzeichnis: Im Lehrgng verwendete Gtter ( Üersicht ) Seite 3 Aufu von Zhlensystemen deziml, dul ( Infoseite ) Seite 4 ( Areitsltt ) Seite 5

Mehr

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist . Ohm = LED leuchtet wenn chlter gedrückt ist 2. Ohm = NICH ( = NO ) LED leuchtet wenn chlter nicht gedrückt ist = ist die Negtion von? Gibt es so einen kleinen chlter (Mikrotster)? 2. Ohm = UND LED leuchtet

Mehr

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze

Schaltnetze. Inhaltsübersicht. Aufbau von Schaltnetzen anhand wichtiger Beispiele. Codierer, Decodierer und Codekonverter. Additionsschaltnetze Schltnetze Aufu von Schltnetzen nhnd wichtiger Beipiele Inhltericht Codierer, Decodierer und Codekonverter Additionchltnetze Hlddierer Vollddierer Mehrtellige Addierer Multiplexer und Demultiplexer Techniche

Mehr

Logische Grundschaltungen

Logische Grundschaltungen Elektrotechnisches Grundlgen-Lor II Logische Grundschltungen Versuch Nr. 9 Erforderliche Geräte Anzhl Bezeichnung, Dten GL-Nr. 1 Voltmeter 335 1 Steckrett SB 1 1 Steckrett SB 2 mit 5V Netzteil 1 Steckrett

Mehr

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1.

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1. Modul : Grundlgen der Wirtschftsmthemtik und Sttistik Kurs 46, Einheit, Einsendeufge Die Regelungen zu den Einsendeufgen (Einsendeschluss, Klusurzulssung) finden Sie in den Studien- und Prüfungsinformtionen

Mehr

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik

Boole'sche Algebra. Inhaltsübersicht. Binäre Funktionen, Boole'sche Algebren, Schaltalgebra. Verknüpfungen der mathematischen Logik Boole'sche Algebr Binäre Funktionen, Boole'sche Algebren, Schltlgebr Inhltsübersicht Verknüpfungen der mthemtischen Logik Boole sche Algebren Grundelemente der Schltlgebr Regeln der Schltlgebr Normlformen

Mehr

Technische Informatik 2

Technische Informatik 2 TiEl-F Sommersemester 24 Technische Informtik 2 (Vorlesungsnummer 2625) 23--- TiEl-F Prof. Dr.-Ing. Jürgen Doneit Zimmer E29 Tel.:73 54 455 doneit@fh-heilronn.de 23--- TiEl-F35 Digitltechnik 23--3- . Digitlschltungen,

Mehr

Technische Informatik - Hardware

Technische Informatik - Hardware Inhltsverzeichnis Hns-Georg Beckmnn 22 Technische Informtik - Hrdwre Teil : Grundlgen Vorbemerkungen 2 Dezimlzhlen, Dulzhlen, Hexzhlen 3 Umrechnen in Zhlensystemen 4 Addieren zweier Dulzhlen 6 Hlbddierer

Mehr

Übungsblatt 1 zum Propädeutikum

Übungsblatt 1 zum Propädeutikum Üungsltt zum Propädeutium. Gegeen seien die Mengen A = {,,,}, B = {,,} und C = {,,,}. Bilden Sie die Mengen A B, A C, (A B) C, (A C) B und geen Sie diese in ufzählender Form n.. Geen Sie lle Teilmengen

Mehr

Mikro-Controller-Pass 1

Mikro-Controller-Pass 1 Mikro-Conroller-Pss Lernsyseme MC 85 eie: rdl. Logik_B rundlgen logische Verknüpfungen Inhlserzeichnis Vorwor eie Binäre Aussgen in der Technik eie Funkionseschreiungen der Digilechnik eie 5 Funkionselle

Mehr

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung)

Automaten und Formale Sprachen alias Theoretische Informatik. Sommersemester 2012. Sprachen. Grammatiken (Einführung) Wörter, Grmmtiken und die Chomsky-Hierrchie Sprchen und Grmmtiken Wörter Automten und Formle Sprchen lis Theoretische Informtik Sommersemester 2012 Dr. Snder Bruggink Üungsleitung: Jn Stückrth Alphet Ein

Mehr

Skript für die Oberstufe und das Abitur 2015 Baden-Württemberg berufl. Gymnasium (AG, BTG, EG, SG, WG)

Skript für die Oberstufe und das Abitur 2015 Baden-Württemberg berufl. Gymnasium (AG, BTG, EG, SG, WG) Sript für die Oerstufe und ds Aitur Bden-Württemerg erufl. Gymnsium (AG, BTG, EG, SG, WG) Mtrizenrechnung, wirtschftliche Anwendungen (Leontief, Mterilverflechtung) und Linere Optimierung Dipl.-Mth. Alexnder

Mehr

Lösungsskizze zu Übungsblatt Nr. 13

Lösungsskizze zu Übungsblatt Nr. 13 Technische Universität Dortmund Lehrstuhl Informtik VI Prof Dr Jens Teuner Pflichtmodul Informtionssysteme (SS 2013) Prof Dr Jens Teuner Leitung der Üungen: Geoffry Bonnin, Sven Kuisch, Moritz Mrtens,

Mehr

Lösungsskizze zu Übungsblatt Nr. 13

Lösungsskizze zu Übungsblatt Nr. 13 Technische Universität Dortmund Lehrstuhl Informtik VI Prof Dr Jens Teuner Pflichtmodul Informtionssysteme (SS 2014) Prof Dr Jens Teuner Leitung der Üungen: Mrcel Preuß, Sestin Breß, Mrtin Schwitll, Krolin

Mehr

Grundlagen der Technischen Informatik. Bausteine der Digitaltechnik - Binäre Schalter und Gatter. Kapitel 7.1

Grundlagen der Technischen Informatik. Bausteine der Digitaltechnik - Binäre Schalter und Gatter. Kapitel 7.1 Busteine er Digitltehnik - Binäre Shlter un Gtter Kpitel 7. Dr.-Ing. Stefn Wilermnn ehrstuhl für rwre-softwre-co-design Entwurfsrum - Astrktionseenen SYSTEM-Eene + MODU-/RT-Eene (Register-Trnsfer) ogik-/gatter-eene

Mehr

5.4 CMOS Schaltungen und VLSIDesign

5.4 CMOS Schaltungen und VLSIDesign Kp5.fm Seite 447 Dienstg, 7. Septemer 2 :55 3 5.4 CMOS Schltungen und VLSI Design 447 r u u r id + + A. 5.39: Progrmmierrer Gitterustein 5.4 CMOS Schltungen und VLSIDesign Die Boolesche Alger eginnt mit

Mehr

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge.

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge. Reltionen zwischen Mengen/uf einer Menge! Eine Reltion R A B (mit A B) ist eine Reltion zwischen der Menge A und der Menge B, oder uch: von A nch B. Drstellung: c A! Wenn A = B, d.h. R A A, heißt R eine

Mehr

Karlsruher Institut für Technologie

Karlsruher Institut für Technologie Krlsruher Institut für Technologie Lehrstuhl für Progrmmierprdigmen Sprchtechnologie und Compiler WS 2010/2011 Dozent: Prof. Dr.-Ing. G. Snelting Üungsleiter: Mtthis Brun Lösung zu Üungsltt 1 Ausge: 18.04.2012

Mehr

Für den Mathe GK, Henß. - Lineare Algebra und analytische Geometrie -

Für den Mathe GK, Henß. - Lineare Algebra und analytische Geometrie - Für den Mthe GK, Henß - Linere Alger und nlytische Geometrie - Bis uf die Astände ist jetzt lles drin.. Ich h noch ne tolle Seite entdeckt mit vielen Beispielen und vor llem Aufgen zum Üen mit Lösungen..

Mehr

Personal und Finanzen der öffentlich bestimmten Fonds, Einrichtungen, Betriebe und Unternehmen (FEU) in privater Rechtsform im Jahr 2003

Personal und Finanzen der öffentlich bestimmten Fonds, Einrichtungen, Betriebe und Unternehmen (FEU) in privater Rechtsform im Jahr 2003 Personl und Finnzen der öffentlich estimmten Fonds, Einrichtungen, Betriee und Unternehmen (FEU) in privter Rechtsform im Jhr 003 Dipl.-Volkswirt Peter Emmerich A Mitte der 980er-Jhre ist eine Zunhme von

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13

Inhaltsverzeichnis. Inhaltsverzeichnis... 1 3.Logik... 2. 3.1 Zahlensysteme... 2. 3.2 Grundbegriffe zweiwertiger Logik... 13 Inhltsverzeichnis Inhltsverzeichnis... 3.Logik... 2 3. Zhlensysteme... 2 3.2 Grundegriffe zweiwertiger Logik... 3 3.3 Rechengesetze für logische Ausdrücke... 9 3.4 Logische Funktionen... 24 3.5 Logische

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

1 GeschäftsdiaGramme. Abbildung 1.1: Übersicht zu unterschiedlichen Grafi ktypen. 2.1.4 Unify objects: graphs e.g. org graphs, networks, and maps

1 GeschäftsdiaGramme. Abbildung 1.1: Übersicht zu unterschiedlichen Grafi ktypen. 2.1.4 Unify objects: graphs e.g. org graphs, networks, and maps 1 GeshäftsdiGrmme Wenn mn eine deutshe Üersetzung des Begriffes usiness hrts suht, so ist mn mit dem Wort Geshäftsdigrmme gnz gut edient. Wir verstehen unter einem Geshäftsdigrmm die Visulisierung von

Mehr

Teil V: Formale Sprachen

Teil V: Formale Sprachen Formle Sprchen Teil V: Formle Sprchen 1. Sprchen und Grmmtiken 2. Endliche Automten Frnz-Josef Rdermcher & Uwe Schöning, Fkultät für Ingeneurwissenschften und Informtik, Universität Ulm, 2008/09 Formle

Mehr

Funktionen und Mächtigkeiten

Funktionen und Mächtigkeiten Vorlesung Funktionen und Mähtigkeiten. Etws Mengenlehre In der Folge reiten wir intuitiv mit Mengen. Eine Menge ist eine Zusmmenfssung von Elementen. Zum Beispiel ist A = {,,,,5} eine endlihe Menge mit

Mehr

Entwurf und Realisierung analoger und digitaler Filter

Entwurf und Realisierung analoger und digitaler Filter Signl- und Messwert- Verrbeitung Dr. K. Schefer Entwurf und Relisierung nloger und digitler Filter Im Rhmen dieses Versuchs wollen wir uns mit der Dimensionierung von nlogen und digitlen Filtern und mit

Mehr

edatenq ist eine Anwendung, die den Unternehmen die Möglichkeit bietet, ihre statistischen Meldungen über das Internet auszufüllen und einzureichen.

edatenq ist eine Anwendung, die den Unternehmen die Möglichkeit bietet, ihre statistischen Meldungen über das Internet auszufüllen und einzureichen. Mnuell edatenq Fremdenverkehrs- und Gstgeweresttistik Einleitung edatenq ist eine Anwendung, die den Unternehmen die Möglichkeit ietet, ihre sttistischen Meldungen üer ds Internet uszufüllen und einzureichen.

Mehr

Vorkurs Mathematik Fachhochschule Frankfurt, Fachbereich 2. Fachhochschule Frankfurt am Main Fachbereich Informatik und Ingenieurwissenschaften

Vorkurs Mathematik Fachhochschule Frankfurt, Fachbereich 2. Fachhochschule Frankfurt am Main Fachbereich Informatik und Ingenieurwissenschaften Vorkurs Mthemtik Fchhochschule Frnkfurt, Fchereich Fchhochschule Frnkfurt m Min Fchereich Informtik und Ingenieurwissenschften Vorkurs Mthemtik Sie finden lle Mterilien sowie ergänzende Informtionen unter

Mehr

Installations und Bedienungsanleitung

Installations und Bedienungsanleitung Instlltions und Bedienungsnleitung EKRUCBS Instlltions und Bedienungsnleitung Deutsch Inhltsverzeichnis Inhltsverzeichnis Für den Benutzer 2 1 Schltflächen 2 2 Sttussymole 2 Für den Instllteur 3 3 Üersicht:

Mehr

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an!

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an! Seite 1/15 Aufgbe 1 ( 7 Punkte) Geben Sie die Koordinten des lächenschwerpunktes des drgestellten Querschnitts n! 2 Gegeben:. 4 ΣA i = y 2 x Σx i A i = x s = Σy i A i = y s = ΣA i = 8 2 Σx i A i = 13 3

Mehr

Einschub: Zahlendarstellung und Codes

Einschub: Zahlendarstellung und Codes Einschu: Zhlendrstellung und Codes (Unvollständige Drstellung) DST SS23 - Codes und KMAPs P. Fischer, TI, Uni Mnnheim, Seite Binärzhlen N-stellige Binärzhl:... Einzelne Stellen heißen Bits (inry digits)

Mehr

Praktikum: Elektronische Schaltungstechnik I, 90min Raum: Labor Schaltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M.

Praktikum: Elektronische Schaltungstechnik I, 90min Raum: Labor Schaltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M. Technische Informtik TI 4. Semester Prktikum: Elektronische Schltungstechnik I, 90min um: Lbor Schltungs- und Prozessortechnik Betreuung: Prof. Dr.-Ing. M. Viehmnn Versuch: ES. Them: Opertionsverstärker.

Mehr

Gruppe 14 René Kreiner Mat.-Nr.: 50175 Thomas Weise Mat.-Nr.: 25603 bei Dr. B. Naumann Thomas Ziegs Mat.-Nr.: 47423 Montag, 18.11.2002, 13.

Gruppe 14 René Kreiner Mat.-Nr.: 50175 Thomas Weise Mat.-Nr.: 25603 bei Dr. B. Naumann Thomas Ziegs Mat.-Nr.: 47423 Montag, 18.11.2002, 13. Hrdwreprktikum Gruppe René Kreiner Mt.-Nr.: 575 Thoms Weise Mt.-Nr.: 56 ei Dr. B. Numnn Thoms Ziegs Mt.-Nr.: 7 Montg, 8..,.5, /77 Komintorik Seite von 8 Zusmmenfssende Voretrchtung. XOR Für die logische

Mehr

-25/1- DIE RÖHRENDIODE

-25/1- DIE RÖHRENDIODE -25/1- DIE RÖHRENDIODE ufgben: Messverfhren: Vorkenntnisse: Lehrinhlt: Litertur: ufnhme der Kennlinie einer Röhrendiode und einiger rbeitskennlinien. Bestimmung des Exponenten der Schottky-Lngmuirschen

Mehr

DV1_Kapitel_5.doc Seite 5-1 von 36 Rüdiger Siol 12.09.2009 16:31

DV1_Kapitel_5.doc Seite 5-1 von 36 Rüdiger Siol 12.09.2009 16:31 Rvensurg-Weingrten Vorlesung zur Dtenverreitung Tehnishe Informtik Inhltsverzeihnis 5 TECHNISCHE INFORMATIK...5-2 5. ENTWURF DIGITALER SYSTEME...5-2 5.2 KOMBINATIONSSCHALTUNGEN (SCHALTNETZE)...5-3 5.2.

Mehr

Versuchsumdruck. Schaltungsvarianten des Operationsverstärkers

Versuchsumdruck. Schaltungsvarianten des Operationsverstärkers Hchschule STDIENGANG Wirtschftsingenieurwesen Bltt n 6 Aschffenburg Prf. Dr.-Ing.. Bchtler, Armin Huth Versuch 2 Versin. m 23.3.2 Versuchsumdruck Schltungsrinten des Opertinserstärkers Inhlt Verwendete

Mehr

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO)

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO) Fchhochschule Düsseldorf SS 2007 Teilfchprüfung Mthemtik Studiengng: Wirtschft Neue Diplomprüfungsordnung (NPO) Prüfungsdtum: 29..2007 Prüfer: Prof. Dr. Horst Peters / Dipl. Volkswirt Lothr Schmeink Prüfungsform:

Mehr

FB Technologie und Management. Das de Morgansche Theorem. Kombinationsschaltungen (Schaltnetze) Rangfolge der 3 Grundoperationen

FB Technologie und Management. Das de Morgansche Theorem. Kombinationsschaltungen (Schaltnetze) Rangfolge der 3 Grundoperationen FB Tehnologie un Mngement Komintionsshltungen (hltnetze) Eingngsvektor X Komintorishes ystem (hltnetz) y y Ausgngsvektor f(x) n y m Dtenverreitung (Kpitel 5 Tehnishe Informtik) Drstellung er ignle X hltnetz

Mehr

13 Rekonfigurierende binäre Suchbäume

13 Rekonfigurierende binäre Suchbäume 13 Rekonfigurierende inäre Suchäume U.-P. Schroeder, Uni Pderorn inäräume, die zufällig erzeugt wurden, weisen für die wesentlichen Opertionen Suchen, Einfügen und Löschen einen logrithmischen ufwnd uf.

Mehr

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6 Aufgben zur Vorlesung Anlysis II Prof. Dr. Holger Dette SS 0 Lösungen zu Bltt 6 Aufgbe. Die Funktion f : [, ) R sei in jedem endlichen Teilintervll von [, ) Riemnnintegrierbr. Für n N sei I n := f() d.

Mehr

1 Analoge Messgeräte 1.1 Drehspul-Messwerk

1 Analoge Messgeräte 1.1 Drehspul-Messwerk Elektronikkurs Theorie Anloge Messgeräte 1 Anloge Messgeräte 1.1 Drehspul-Messwerk Aufbu und Funktion: Eine drehbr gelgerte Spule befindet sich im mgnetischen Feld eines Duermgneten. Fliesst ein Gleichstrom

Mehr

Nachtrag Nr. 71 a. gemäß 10 Verkaufsprospektgesetz (in der vor dem 1. Juli 2005 geltenden Fassung) Unvollständigen Verkaufsprospekt

Nachtrag Nr. 71 a. gemäß 10 Verkaufsprospektgesetz (in der vor dem 1. Juli 2005 geltenden Fassung) Unvollständigen Verkaufsprospekt London Brnch Nchrg Nr. 71 gemäß 10 Verkufsprospekgesez (in der vor dem 1. Juli 2005 gelenden Fssung) vom 6. Novemer 2006 zum Unvollsändigen Verkufsprospek vom 31. März 2005 üer Zerifike uf * üer FlexInves

Mehr

Netzstrategien für Betreiber von Energienetzen Verschmelzung von Technik und Regulierung Teil 4 von 4

Netzstrategien für Betreiber von Energienetzen Verschmelzung von Technik und Regulierung Teil 4 von 4 Orgnistion & Mngement Netzstrtegien für Betreier von Energienetzen Verschmelzung von Technik und Regulierung Teil 4 von 4 Quelle: Eisenhns Fotoli.com Der vierte und letzte Teil der Veröffentlichungsreihe

Mehr

Die Brückenlappentechnik zum sicheren Verschluss von Nasenseptumdefekten

Die Brückenlappentechnik zum sicheren Verschluss von Nasenseptumdefekten Die Brückenlppentechnik zum sicheren Verschluss von Nsenseptumdefekten T. Stnge, H.-J. Schultz-Coulon Einleitung Die Rekonstruktion eines defekten Nsenseptums zählt zu den schwierigsten rhinochirurgischen

Mehr

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30 15 Mtrizenrechnung 15 Mtrizenrechnung 15.1 Mtrix ls Zhlenschem Eine Internetfirm verkuft über einen eigenen Shop Digitlkmers. Es wird jeweils nur ds Topmodel der Firmen Cnon, Nikon und Sony ngeboten. Verkuft

Mehr

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm

3 Module in C. 4 Gültigkeit von Namen. 5 Globale Variablen (2) Gültig im gesamten Programm 3 Module in C 5 Glole Vrilen!!!.c Quelldteien uf keinen Fll mit Hilfe der #include Anweisung in ndere Quelldteien einkopieren Bevor eine Funktion us einem nderen Modul ufgerufen werden knn, muss sie deklriert

Mehr

Übungsblatt Gleichungssysteme Klasse 8

Übungsblatt Gleichungssysteme Klasse 8 Üungsltt Gleichungsssteme Klsse 8 Auge : Berechne die Lösungen des Gleichungspres: I II 7 Kontrolliere durch Einseten. Auge : Löse dem Additionsverhren: I 7-6 II 9 Auge : Gegeen ist olgendes linere Gleichungssstem

Mehr

Inhaltsverzeichnis. Modul Produktion + Steuerungstechnik Grundlagen. Zusammenfassung Wintersemester 05/06

Inhaltsverzeichnis. Modul Produktion + Steuerungstechnik Grundlagen. Zusammenfassung Wintersemester 05/06 Inhltsverzeichnis Modul Produktion + Steuerungstechnik Grundlgen Zusmmenfssung Wintersemester 05/06 Inhltsverzeichnis... 2 1. Einleitung... 3 1.1 Einordnung... 3 1.2.1 Steuern... 3 1.2.2 Regeln... 3 1.2.3

Mehr

Systemtheorie Digitaler Systeme

Systemtheorie Digitaler Systeme Systemtheorie Digitler Systeme Skript Vorlesung Inhltsverzeihnis. Grundlgen... 5. Einführung in die Theorie der Shltsysteme... 5.. Definition (von Wunsh): System... 5..2 Signlverreitungsshem (Blk Box)...

Mehr

Programmieren in C/C++ und Matlab

Programmieren in C/C++ und Matlab Progrmmieren in C/C und Mtl Sine Schmidt & Sestin Buer Institut für Geowissenschften Christin-Alrechts-Universität zu Kiel Progrmmieren in C/C und Mtl CAU, SS 08 for- / while-schleifen: - numerische Integrlerechnung

Mehr

Präfixcodes und der Huffman Algorithmus

Präfixcodes und der Huffman Algorithmus Präfixcodes und der Huffmn Algorithmus Präfixcodes und Codebäume Im Folgenden werden wir Codes untersuchen, die in der Regel keine Blockcodes sind. In diesem Fll können Codewörter verschiedene Länge hben

Mehr

Identifizierbarkeit von Sprachen

Identifizierbarkeit von Sprachen FRIEDRICH SCHILLER UNIVERSITÄT JENA Fkultät für Mthemtik und Informtik INSTITUT für INFORMATIK VORLESUNG IM WINTERSEMESTER STOCHASTISCHE GRAMMATIKMODELLE Ernst Günter Schukt-Tlmzzini 06. Quelle: /home/schukt/ltex/folien/sprchmodelle-00/ssm-06.tex

Mehr

Versuchsplanung. Grundlagen. Extrapolieren unzulässig! Beobachtungsbereich!

Versuchsplanung. Grundlagen. Extrapolieren unzulässig! Beobachtungsbereich! Versuchsplnung 22 CRGRAPH www.crgrph.de Grundlgen Die Aufgbe ist es Versuche so zu kombinieren, dss die Zusmmenhänge einer Funktion oder eines Prozesses bestmöglich durch eine spätere Auswertung wiedergegeben

Mehr

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt:

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 8. Grundlgen der Informtionstheorie 8.1 Informtionsgehlt, Entropie, Redundnz Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* ller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 1.

Mehr

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen

Gerd Wöstenkühler. Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen Gerd Wöstenkühler Grundlgen der Digitltehnik Elementre Komponenten, Funktionen und Steuerungen Inhlt 1 Einleitung... 11 1.1 Anloge unddigitledrstellungsformen... 11 1.1.1 AnlogeGrößendrstellung... 11 1.1.2

Mehr

Leitfaden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH

Leitfaden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH Leitfden für die Berechnung des Netzentgeltes bei der Rhein-Ruhr Verteilnetz GmbH Stnd: 20.01.2012 Gültig b: 01.01.2012 Inhltsverzeichnis 1 Benötigte Dten... 3 2 Netzentgelte... 4 2.1 Entgelt für Entnhme

Mehr

1.1 Grundbegriffe und Grundgesetze 29

1.1 Grundbegriffe und Grundgesetze 29 1.1 Grundbegrffe und Grundgesetze 9 mt dem udrtschen Temperturkoeffzenten 0 (Enhet: K - ) T 1 d 0. (1.60) 0 dt T 93 K Betrchtet mn nun den elektrschen Wderstnd enes von enem homogenen elektrschen Feld

Mehr

Dental-CT bei Kindern Technische Vorgehensweise und exemplarische Befunde

Dental-CT bei Kindern Technische Vorgehensweise und exemplarische Befunde Corneli Schröder, Alexnder Schumm Dentl-CT ei Kindern Technische Vorgehensweise und exemplrische Befunde Die Computertomogrphie der Zhnreihen (Dentl-CT) wird ei Kindern und Jugendlichen selten eingesetzt,

Mehr

1 Kurvendiskussion /40

1 Kurvendiskussion /40 009 Herbst, (Mthemtik) Aufgbenvorschlg B Kurvendiskussion /0 Gegeben ist eine Funktion f mit der Funktionsgleichung: f ( ) 0 6 = ; mit.. Untersuchen Sie ds Verhlten der Funktionswerte von f im Unendlichen.

Mehr

Public-Key-Verfahren: Diffie-Hellmann und ElGamal

Public-Key-Verfahren: Diffie-Hellmann und ElGamal Westfälische Wilhelms-Universität Münster Ausreitung Pulic-Key-Verfhren: Diffie-Hellmnn und ElGml im Rhmen des Seminrs Multimedi und Grphen WS 2007/2008 Veselin Conev Themensteller: Prof. Dr. Herert Kuchen

Mehr

Schriftliche Prüfungsarbeit zum mittleren Schulabschluss 2007 im Fach Mathematik

Schriftliche Prüfungsarbeit zum mittleren Schulabschluss 2007 im Fach Mathematik Sentsverwltung für Bildung, Wissenschft und Forschung Schriftliche Prüfungsrbeit zum mittleren Schulbschluss 007 im Fch Mthemtik 30. Mi 007 Arbeitsbeginn: 10.00 Uhr Berbeitungszeit: 10 Minuten Zugelssene

Mehr

Das customized Alignersystem

Das customized Alignersystem Inwieweit durh Einstz modernster Computertehnologie die Aktivierung von Korrekturshienen noh präziser relisiert werden knn, demonstriert Dr. Wjeeh Khn nhnd des von ihm entwikelten orthops -Alignersystems*.

Mehr

Schülerkurs. Mathematik > Lineare Algebra > Lineare Gleichungen Lineare Gleichungssysteme > Teil I: Theorie. Michael Buhlmann

Schülerkurs. Mathematik > Lineare Algebra > Lineare Gleichungen Lineare Gleichungssysteme > Teil I: Theorie. Michael Buhlmann Michel Buhlmnn Schülekus Mthemtik > Linee Alge > Linee Gleichungen Linee Gleichungssysteme > Teil I: Theoie Linee Gleichungen und linee Gleichungssysteme duchziehen den Mthemtikunteicht in llen Schulfomen

Mehr

Bestellformular - Adresslisten

Bestellformular - Adresslisten Industrie- und Hndelskmmer Heilbronn-Frnken Bestellformulr - Adresslisten Sehr geehrte Dmen und Herren, wie besprochen, erhlten Sie unser Bestellformulr für Adresslisten von Unternehmen in unserem Kmmerbezirk

Mehr

Regressionsverfahren haben viele praktische Anwendungen. Die meisten Anwendungen fallen in eine der folgenden beiden Kategorien:

Regressionsverfahren haben viele praktische Anwendungen. Die meisten Anwendungen fallen in eine der folgenden beiden Kategorien: Regressoslse De Regressoslse st ee Slug vo sttstshe Alseverfhre. Zel e de häufgste egesetzte Alseverfhre st es Bezehuge zwshe eer hägge ud eer oder ehrere uhägge rle festzustelle. Se wrd sesodere verwedet

Mehr

Klausur Grundlagen der Elektrotechnik (Version 5 für Diplom)

Klausur Grundlagen der Elektrotechnik (Version 5 für Diplom) Prüfung Grundlgen der Elektrotehnik Seite 1/34 Klusur Grundlgen der Elektrotehnik (Version 5 für Diplom) Die Klusur esteht us 11 Aufgen, dvon 10 Textufgen à 5 Punkte und ein Single-Choie-Teil mit 30 Punkten.

Mehr

Kapitel 6 E-Mails senden und empfangen

Kapitel 6 E-Mails senden und empfangen Kpitel 6 E-Mils senden und empfngen Sie ist zwr mittlerweile infolge des hohen Spmufkommens ein wenig in Verruf gerten, gehört er immer noch zum Stndrdkommuniktionsmittel des Weürgers: die E-Mil. Zentrle

Mehr

Seminar zum anorganisch-chemischen Praktikum. Quantitative Analyse. Patrick Schwarz

Seminar zum anorganisch-chemischen Praktikum. Quantitative Analyse. Patrick Schwarz Seminr zum norgnisch-chemischen Prktikum Quntittive Anlyse Ptrick Schwrz itertur M. Scheer, J. Wchter Skript zum Prktikum Anorgnische Chemie I, Institut für Anorgnische Chemie der Universität Regensurg

Mehr

http://www.tfh-wildau.de/gerking/arbeiten.html 2005

http://www.tfh-wildau.de/gerking/arbeiten.html 2005 Hllo Ilse, gut nch Huse gekommen? Ich htte Glück, die U-Bhnnschlüsse wren gut. http://www.tfh-wildu.de/gerking/arbeiten.html 5 Sonntgs hbe ich mich dnn erstml mit der Frge beschäftigt, ob Mthemtik und

Mehr

Thema 13 Integrale, die von einem Parameter abhängen, Integrale von Funktionen auf Teilmengen von R n

Thema 13 Integrale, die von einem Parameter abhängen, Integrale von Funktionen auf Teilmengen von R n Them 13 Integrle, die von einem Prmeter bhängen, Integrle von Funktionen uf Teilmengen von R n Wir erinnern drn, dß eine Funktion h : [, b] R eine Treppenfunktion ist, flls es eine Unterteilung x < x 1

Mehr

Nutzung der Abwärme aus Erneuerbare-Energie-Anlagen

Nutzung der Abwärme aus Erneuerbare-Energie-Anlagen 5 2014 Sonderdruck us BWK 5-2014 Wichtige Kennzhlen und effiziente Plnung für die dezentrle Wärmewende Nutzung der Abwärme us Erneuerbre-Energie-Anlgen Wichtige Kennzhlen und effiziente Plnung für die

Mehr

GPM Project Excellence Modell Nürnberg, PM Forum 2014

GPM Project Excellence Modell Nürnberg, PM Forum 2014 GPM Project Excellence Modell Nürnerg, PM Forum 2014 Einführung Reflexion professionell egeisterte Stkeholder Lernen innovtiv Ws ist Project Excellence? Umweltinteressen nchhltige Werte schffen herusrgende

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Hilfsrelais HR 116. Bilfinger Mauell GmbH

Hilfsrelais HR 116. Bilfinger Mauell GmbH Bilfinger Muell GmH Hilfsrelis HR 11 Die Hilfsrelis ienen zur glvnishen Trennung, Kontktvervielfhung un Trennung zwishen Hilfs- un Steuerstromkreisen. Bilfinger Muell GmH Inhltsverzeihnis Inhlt Seite Anwenung

Mehr

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999 Abitur - Leistungskurs Mthemtik Schsen-Anhlt 999 Gebiet L - Anlysis Augbe.. y, D, R,. Die Funktionenschr sei gegeben durch Die Grphen der Funktionen der Schr werden mit G bezeichnet. ) Ermitteln Sieden

Mehr

RoDip das neue Tauchverfahren zur Vorbehandlung. und Elektrotauchlackierlinien. Automobilkarosserien

RoDip das neue Tauchverfahren zur Vorbehandlung. und Elektrotauchlackierlinien. Automobilkarosserien RoDip ds neue Tuchverfhren zur Vorehndlung und Elektrotuchlckierung von Automoilkrosserien Die Lckierung der Automoilkrosserien ht wichtige Aufgen für den Schutz der Oerfläche, z. B. gegen mechnische und

Mehr

Kennlinienaufnahme des Transistors BC170

Kennlinienaufnahme des Transistors BC170 Kennlnenufnhme des Trnsstors 170 Enletung polre Trnsstoren werden us zwe eng benchbrten pn-übergängen gebldet. Vorrusetzung für ds Funktonsprnzp st de gegensetge eenflussung beder pn-übergänge, de nur

Mehr

Seminar Quantum Computation - Finite Quanten-Automaten und Quanten-Turingmaschinen

Seminar Quantum Computation - Finite Quanten-Automaten und Quanten-Turingmaschinen Seminr Quntum Computtion - Finite Qunten-Automten und Qunten-Turingmschinen Sebstin Scholz sscholz@informtik.tu-cottbus.de Dezember 3. Einleitung Aus der klssischen Berechenbrkeitstheorie sind die odelle

Mehr

www. line21 Kommunikation Daten- und Telefontechnik über 1 Kabel mit 4 Adern. Kein Problem mit line21 natürlich von Rutenbeck!

www. line21 Kommunikation Daten- und Telefontechnik über 1 Kabel mit 4 Adern. Kein Problem mit line21 natürlich von Rutenbeck! Dten- und Telefontechnik üer Kel mit 4 Adern. Kein Prolem mit line ntürlich von Ruteneck! Internet Kom mu ni knt, der; -en, -en [: kirchenlt. communicns (Gen.: communicntis) = Teilnehmer m Aendmhl, zu

Mehr

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre Vorlesung Einführung in die mthemtische Sprche und nive Mengenlehre 1 Allgemeines RUD26 Erwin-Schrödinger-Zentrum (ESZ) RUD25 Johnn-von-Neumnn-Hus Fchschft Menge ller Studenten eines Institutes Fchschftsrt

Mehr

Unterrichts- und Prüfungsplanung M306 Modulverantwortlicher: Beat Kündig Modulpartner: R. Rubin

Unterrichts- und Prüfungsplanung M306 Modulverantwortlicher: Beat Kündig Modulpartner: R. Rubin Dokument Dtum (Version) Gültig für 200 / 0 Seite von 7 Unterrichts- und Prüfungsplnung M306 Modulverntwortlicher: Bet Kündig Modulprtner: R. Rubin Lernschritt-Nr. Hndlungsziele Zielsetzung unter Berücksichtigung

Mehr

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009 UNIVERSIÄ KARLSRUHE Institut für Anlysis HDoz. Dr. P. C. Kunstmnn Dipl.-Mth. M. Uhl Sommersemester 9 Höhere Mthemti II für die Fchrichtungen Eletroingenieurwesen, Physi und Geodäsie inlusive Komplexe Anlysis

Mehr

Endliche Automaten. S. Kuske: Endliche Automaten; 6.Novenber 2006

Endliche Automaten. S. Kuske: Endliche Automaten; 6.Novenber 2006 1 Endliche Automten Einfches Modellierungswekzeug (z.b. UML-Sttechrts) Verrbeiten Wörter/Ereignisfolgen Erkennen Sprchen Erluben schnelle Sprcherkennung Anwendungsbereiche: Objektorientierte Modellierung,

Mehr

Controlling als strategisches Mittel im Multiprojektmanagement von Rudolf Fiedler

Controlling als strategisches Mittel im Multiprojektmanagement von Rudolf Fiedler von udolf Fiedler Zusmmenfssung: Der Beitrg eshreit die Aufgen des Projektontrollings, insesondere des strtegishen Projektontrollings. Für die wesentlihen Aufgenereihe werden prktikle Instrumente vorgestellt.

Mehr

Systemsicherheit. Kurze Geschichte. Mobilfunk: Systemüberblick. Kurze Geschichte. Mobilfunk: Systemüberblick. Mobilfunk: Systemüberblick

Systemsicherheit. Kurze Geschichte. Mobilfunk: Systemüberblick. Kurze Geschichte. Mobilfunk: Systemüberblick. Mobilfunk: Systemüberblick urze Geschichte Systemsicherheit Teil 6: Prof. Dr. Erstes kommerzielles system: AT&T 1946 in St. Louis 1980er Jhre: Entwicklung mehrerer zueinnder inkomptiler systeme in Europ 1982: Gründung der Groupe

Mehr

TE- und TM-Moden im Wellenleiter. Bachelorarbeit

TE- und TM-Moden im Wellenleiter. Bachelorarbeit TE- und TM-Moden im Wellenleiter Sebstin Rubitzek 30. September 2014 in Grz Bchelorrbeit betreut von Ao.Univ.-Prof. Mg. Dr.rer.nt. Ulrich Hohenester 1 Inhltsverzeichnis 1 Einleitung 3 1.1 Ws ist ein Wellenleiter?......................

Mehr

Wie erfahre ich, welches Programm ich verwenden muss? 1. Wie kann ich meine Videobänder auf eine Disc übertragen? 5

Wie erfahre ich, welches Programm ich verwenden muss? 1. Wie kann ich meine Videobänder auf eine Disc übertragen? 5 hp dvd writer Wie... Inhlt Wie erfhre ich, welches Progrmm ich verwenden muss? 1 Deutsch Wie knn ich eine Disc kopieren? 2 Wie knn ich meine änder uf eine Disc üertrgen? 5 Wie knn ich einen DVD-Film erstellen?

Mehr

SCRIPT PROGRAMMABLE LOGIC CONTROLS (PLC) VOLUME I

SCRIPT PROGRAMMABLE LOGIC CONTROLS (PLC) VOLUME I SCRIPT PROGRAMMABLE LOGIC CONTROLS (PLC) VOLUME I HÄGELE Inhlt 1. Einleitung... 2 2. Aufbu SPS... 3 2.1 Grundsätzlicher Aufbu... 3 2.2 Aufgben der Ein- und Ausgbebugruppen... 4 2.3 Modulre und Kompkt-ufgebute

Mehr

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5;

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5; Überblick: Teil C Systemnhe Softwreentwicklung Einordnung: Zeiger (Pointer) Literl: Drstellung eines Wertes 0110 0001 12 Progrmmstruktur und Module Vrible: Bezeichnung chr ; eines Dtenobjekts Behälter

Mehr

FernUniversität Gesamthochschule in Hagen

FernUniversität Gesamthochschule in Hagen FernUniversität Gesmthochschule in Hgen FACHBEREICH MATHEMATIK LEHRGEBIET KOMPLEXE ANALYSIS Prof. Dr. Andrei Dum Proseminr 9 - Anlysis Numerische Integrtion Ulrich Telle Mtrikel-Nr. 474 Köln, den 7. Dezember

Mehr

ANALOGE SCHALTUNGSTECHNIK LABOR. AD/DA-Umsetzer (1, 2)

ANALOGE SCHALTUNGSTECHNIK LABOR. AD/DA-Umsetzer (1, 2) ANALOGE SCHALTUNGSTECHNIK LABO Institut für Elektronik der Technischen Universität in Grz AD/DA-Umsetzer (, ) Übungsinhlt: Messungen n A/D- und D/A-Umsetzern Vorusgesetzte Kenntnisse: Übungsunterlgen Litertur:

Mehr

Bestimmung der Adsorptionsisotherme von Essigsäure an Aktivkohle

Bestimmung der Adsorptionsisotherme von Essigsäure an Aktivkohle S2-Adsorptionsisothermen_UWW rstelldtum 28.3.214 7:41: Üungen in physiklischer Chemie für Studierende der Umweltwissenschften Versuch Nr.: S2 Version 214 Kurzezeichnung: Adsorptionsisotherme estimmung

Mehr

von Prof. Dr. Ing. Dirk Rabe FH Emden/Leer

von Prof. Dr. Ing. Dirk Rabe FH Emden/Leer vo Prof. Dr. Ig. Dirk Rbe FH Emde/Leer Überblick: Folge ud Reihe Folge: Zhlefolge ( ) ; ; ; ist eie geordete Liste vo Zhle ( IN) : Glieder der Folge f(): Bildugsgesetz (eplizit i oder rekursiv) z.b.: (

Mehr

7. Portfolioinvestitionen und Wechselkursschwankungen. Literatur. Prof. Dr. Johann Graf Lambsdorff Universität Passau SS 2008

7. Portfolioinvestitionen und Wechselkursschwankungen. Literatur. Prof. Dr. Johann Graf Lambsdorff Universität Passau SS 2008 Prof. Dr. Johnn Grf Lmsdorff Universität Pssu SS 2008 Litertur r IS 0 r 0 P 0 P x MP 7. Portfolioinvestitionen und Wechselkursschnkungen + Z Jrcho, H.-J. und P. Rühmnn (2000) : Monetäre Außenirtschft I.

Mehr

( 3) k ) = 3) k 2 3 für k gerade

( 3) k ) = 3) k 2 3 für k gerade Aufgbe : ( Pute Zeige Sie mithilfe des Biomische Lehrstzes: ( 3 ( 3 ist für lle N eie türliche Zhl Lösug : Nch dem biomische Lehrstz gilt: ( 3 Somit ergibt sich ( 3 ( 3 ( ( 3 bzw ( 3 ( ( 3 ( ( 3 ( ( 3

Mehr

bei Problemen die Theorie und die Beispiele am Anfang jeder Lerneinheit durcharbeiten

bei Problemen die Theorie und die Beispiele am Anfang jeder Lerneinheit durcharbeiten Ds knnst du schon º Terme umformen º Gleichungen ufstellen und lösen º Funktionsgrphen zeichnen º Whrscheinlichkeiten erechnen Erfolge mithilfe des Aschlusstests üerprüfen ei Prolemen die Theorie und die

Mehr

1 Räumliche Darstellung in Adobe Illustrator

1 Räumliche Darstellung in Adobe Illustrator Räumliche Drstellung in Adobe Illustrtor 1 1 Räumliche Drstellung in Adobe Illustrtor Dieses Tutoril gibt Tips und Hinweise zur räumlichen Drstellung von einfchen Objekten, insbesondere Bewegungspfeilen.

Mehr

Prüfungsteil Schriftliche Kommunikation (SK)

Prüfungsteil Schriftliche Kommunikation (SK) SK Üerlik und Anforderungen Üerlik und Anforderungen Prüfungsteil Shriftlihe Kommuniktion (SK) Üerlik und Anforderungen Worum geht es? In diesem Prüfungsteil sollst du einen Beitrg zu einem estimmten Them

Mehr